功能覆蓋率

2021-05-11 12:03:44 字數 337 閱讀 3413

功能覆蓋率( function coverage )是屬於黑盒測試範疇內的,在實際測試中,涉及到的覆蓋率一般都是結構化覆蓋率,與黑盒相關的覆蓋率比較少。

功能覆蓋中最常見的是需求覆蓋,其含義是通過設計一定的測試用例,要求每個需求點都被測試到。其公式是

需求覆蓋 = (被驗證到的需求數量) / (總的需求數量)

在黑盒測試中還有乙個覆蓋叫介面覆蓋(或者稱為入口點覆蓋),要求通過設計一定的用例使得系統的每個介面被測試到。

由於黑盒測試把被測系統理解為乙個黑盒,測試時,輸入測試資料,然後判定輸出結果是否與期望結果一致。根據這個可以得到輸入資料的覆蓋情況,即通過設計一定的用例,要求每種資料情況都被測試到。

功能覆蓋率

功能覆蓋率指你對設計所實現功能特性的收集,驗證的目的就是確保設計在實際環境中的行為正確。設計規範裡詳細說明了裝置應該如何執行,而驗證計畫裡則列出了相應的功能應該如何激勵 驗證和測量。功能覆蓋率是和設計意圖緊密相連的,有時也稱為 規範覆蓋率 而 覆蓋率則是衡量設計的實現情況。如果你的功能覆蓋率很高但是...

覆蓋率選項,覆蓋率分析

covergroup選項提供不同的覆蓋率選項,來計算覆蓋率。乙個covergroup可能會被多個地方例化使用,預設情況下sv會將所有的例項的覆蓋率合併到一起計算。如果需要單獨列出每個covergroup例項的覆蓋率,需要以下設定覆蓋率選項。covergroup cov coverpoint tr.l...

測試覆蓋率

摘要 在測試方法中粗略的介紹了幾種測試方法。其中,白盒測試的動態分析方法中提到邏輯覆蓋率測試有 語句覆蓋 分支覆蓋 判定覆蓋 條件覆蓋 條件 判定覆蓋和路徑覆蓋。這裡將詳細闡述邏輯覆蓋率測試。準備知識 可執行語句 可執行的一項操作 真 假分支 ture false 運算元 opreand 操作符 o...