檔案操作《1》檔案的讀寫

2021-06-21 11:46:10 字數 1191 閱讀 7563

檔案操作在c語言中是乙個不能忽略的知識點,學習檔案操作也可以解除大家對檔案的誤解,可能許多人會認為只有資料夾中的文字檔案,編譯c語言時的原始檔和標頭檔案才是檔案等,其實不能,計算機中的顯示器、滑鼠、鍵盤、印表機等都可以稱為檔案,沒想到吧,其中的鍵盤是標準輸入檔案,顯示器是標準輸出檔案

理解了檔案後我們就來用c語言通過**操作檔案

在c語言中操作檔案需要乙個非常重要的結構體file結構

file結構的原型:

struct _iobuf ;

typedef struct _iobuffile;

通過使用file結構就可以使用c語言操作檔案

還有兩個函式fopen函式和fclose函式

fopen函式用於開啟檔案

fopen的原型:file * f

open(const char * path,const char * mode);

第乙個引數:檔案的路徑

第二個引數:檔案開啟的方式

fopen的開啟方式

例項:開啟d盤下的i.txt

#include #include void main()

else

system("pause");

}

當d盤目錄下沒有1.txt時

當d盤根目錄下有1.txt時

例項2:在d盤建立乙個2.txt

#include #include void main()

else

system("pause");

}

執行結果:

效果:



1 檔案測試 2 檔案操作

1 檔案測試函式 2 檔案操作 新建檔案 fopen filename,w 以 寫 的方式開啟乙個不存在的檔案,就會新建該檔案 檔案刪除 unlink 檔案複製 copy filename,aaa bb.txt 盡量使用 和相對路徑,因為linux只認 也沒有磁碟分割槽,而windows 和 都認 ...

Verilog 1 檔案讀寫有關操作

module read tb reg clk reg rst n reg 23 0 img in wire 23 0 img out parameter sum1 49729 223 223 49729 parameter sum2 49729 24025 reg 23 0 data in ram ...

2019 10 15檔案的讀寫

開啟檔案的讀寫操作需要包含.為了讀而開啟檔案需要建立乙個ifstream物件,為了寫而開啟檔案,要建立乙個ofstream物件。檔案開啟了就可以像處理其他iostream物件一樣進行讀寫。將乙個檔案的內容拷貝到另乙個檔案 include include includeusing namespace ...