程式設計中巨集的使用

2021-06-21 18:03:17 字數 635 閱讀 4813

為尊重原創,**請註明出處

編寫**中,巨集的使用無處不在,總結一下大概以下幾種:

1、定義一些常量,或者一些基位址,方便在版本更換時,只需要適配巨集,而不需要修改**

#define  gic_cpu_base_addr (0xa0000000+0x1000)

#define  pai    3.14

需要注意的是,巨集中如果有運算子的話,一定要加上括號;

2、標頭檔案的定義

#ifndef    __my_head_file_h__

#define   __my_head_file_h__

#endif

或者#ifndef ok

#define ok 0

#endif

這樣可以防止標頭檔案被重複包含或者某個變數被重複定義,因為一般乙個專案中,**量、檔案都是很多,流程很複雜繁瑣的,難免會有標頭檔案被重複包含,加上這個巨集的限制後,我們就不用擔心編譯警告或者錯誤了;

3、用巨集來定義一些通用的**,比如好多個函式都需要引數型別檢查,我可以定義乙個巨集來實現

#define  check_para(para,max) \

dowhile(0)

這樣,我可以在任何需要檢查引數的地方,呼叫這個巨集就可以了,**看起來也更簡潔。

cmake中巨集的使用

首先貼乙個例子進行分析 set var abc macro moo arg message arg set arg abc message after change the value of arg.message arg endmacro message call macro moo 這段使用cm...

SV 中巨集的使用

在sv中,養成使用巨集的習慣可以大大提高code 的可閱讀性,讓我們從簡單重複的工作中脫離出來,聚焦技術方法本身或者硬體的理解上,可以有效的提高我們的驗證效率。在我們驗證的過程或多或少的會遇到在tb test中使用巨集的方法,當然我們是看的懂的,但是我們一定要思考這個tb test為什麼要這麼寫,有...

SAP ABAP中巨集使用

report demo mod tech macros data result type i,n1 type i value 5,n2 type i value 6.define operation.result 1 2 3.output 1 2 3 result.end of definition...