vector 賦值方法

2021-07-01 21:56:15 字數 668 閱讀 1681

#include 

#include 

using

namespace

std;  

void

main()  

vector>ivec3(10);  

for(vector<

int>::iterator iter=ivec3.begin();iter!=ivec3.end();++iter)  

/下面兩種方法最佳,他們使用標準庫定義的操作,無須再定義vector物件時指定容器的大小。比較靈活且不容易出錯.

vector>ivec4;  

vector>::iterator iter=ivec4.end();  

for(

inti=0;i!=10;++i)  

vector>ivec5;   

vector>::size_type cnt=1;  

for(cnt;cnt<=10;++cnt)  

}  以上****

下面還有一種賦值方法:通過陣列指標給vector物件賦值:

如下:[cpp]view plain

copy

intmyarray[5] = ;  

vector> myvector(myarray , myarray+5);  

vector的初始化以及賦值方法

include include intmain cout endl v2.assign v1.begin v1.end 利用assign函式將v1的值賦值到v2裡面 cout v2 for auto v v2 cout endl v3.assign 7 4 v3初始化,7個4 cout v3 for...

vector的幾種初始化及賦值方法

1 不帶引數的建構函式初始化 初始化乙個size為0的vector vectorabc 2 帶引數的建構函式初始化 初始化size,但每個元素值為預設值 vectorabc 10 初始化了10個預設值為0的元素 初始化size,並且設定初始值 vectorcde 10,1 初始化了10個值為1的元素...

vector常用方法

1 標頭檔案 include.2 建立vector物件,vectorvec 3 尾部插入數字 vec.push back a 4 使用下標訪問元素,cout 5 使用迭代器訪問元素.vector iterator it for it vec.begin it vec.end it cout it 6...