2017 8 7測試 題二 約數個數

2021-08-06 07:48:09 字數 760 閱讀 9480

約數個數

shlqsh

【題目描述】

定義f(x)為x的約數個數,x為正整數。

求=f(a)+f(a+1)+……+f(b),即a,b之間每個數的約數的總和。

【輸入資料】

從shlqsh.in中讀入資料。

一行兩個正整數a、b,以乙個空格隔開。

【輸出資料】

向shlqsh.out中輸出資料。

一行乙個整數,即答案。

【資料範圍】

對於50%的資料,1≤a≤b≤1000;

對於100%的資料,1≤a≤b≤10,000,000。

【輸入輸出樣例】

shlqsh.in

shlqsh.out

2 6 13

var

a,b,i,j,ans:longint;

begin

read(a,b);

a:=a-1;

for i:=1 to a do ans:=ans-a div i;//減去1~a-1的約數

for i:=1 to b do ans:=ans+b div i;//加上1~b的約數(1~b-1~a-1=a~b的約數個數)

writeln(ans);

end.

2017 8 7測試 題三 機器選擇

機器選擇 selc 題目描述 自從省隊noi賽前集訓在scz舉行之後,乙個名叫cs1.6.exe的檔案開始在機房廣泛使用起來。每天大家都要找神犇小x借行動硬碟,考裡面的這個檔案。由於機房裡需要考這個檔案的人太多了,每天都要花一段時間乙個人乙個人的去拷貝。小t覺得這實在是太麻煩了,就想找乙個一勞永逸的...

第二章測試題

1.多個生產者和消費者,共享乙個能存放100個產品的環形緩衝區 初始為空 緩衝區未滿生產者可放入乙個產品,否則等待。要求每個消費者連續取10件產品才能讓其他消費者取。請用訊號量機制寫偽 實現程序的互斥和同步實現,要求說明所用訊號量含義和初值。int in 0,out 0,n 100 semaphor...

程式設計測試題 素數對 不要二 求和

給定乙個正整數,編寫程式計算有多少對質數的和等於輸入的這個正整數,並輸出結果。輸入值小於1000。如,輸入為10,程式應該輸出結果為2。共有兩對質數的和為10,分別為 5,5 3,7 輸入包括乙個整數n,3 n 1000 輸出對數 include include using namespace st...