校招季總結

2021-08-09 12:17:20 字數 3843 閱讀 1722

忙碌的校招季告一段度,研究生階段的兩大任務,畢業和擇業已經完成了乙個,結果還算滿意,面了10家公司,拿到3個offer,對忙碌的乙個多月做乙個總結,挑戰,才剛剛開始。

1. 中興提前批

七月十五號,中興率先拉開了校招大幕,此次提前批主要針對參加過中興大賽的優勝同學,我抱著打醬油的態度也去試了試,結果真的打了醬油,面試崗位基帶演算法工程師,穿著短袖牛仔褲就去和面試官過招,不到20分鐘就敗下陣來,面試官問了很多,軟體硬體都有,整體表現非常**,舉止過於緊張,敘述毫無邏輯,也算是積累了面試經驗,總結就是,不管你的經歷是否豐富,內容是否充實,要做到重點突出,邏輯清晰,談吐得體,這是最基本的要求。

面試問題:

1.fpga開發流程

2.fpga的設計思想

3.介紹一下競賽經驗

4.是否有dsp開發經驗

5.計算機系統中訪問速度最快的是什麼

6.跨時鐘域怎樣理解

7.非同步fifo怎麼理解

8.對中斷怎麼理解

9.小**的創新點

10.如何判斷指標是否為null

2. 中興校招

臥薪嘗膽了將近兩個月,來到了九月十二號,又是中興打頭陣,一身正裝正式開啟了我的秋招季,九月十二號凌晨十二點半收到去酒店的面試簡訊,一晚沒睡好啊,,面試崗位fpga開發工程師,面試官都很和氣,經過上次提前批的尷尬面試,我的語言組織和表達能力提公升了很多,其實面試官在短短的半個小時內,能了解的不多,一是看你的專業能力,二是看你的表達與溝通能力,如果專業知識過硬,又能和面試官談笑風生,那過關斬將自然不在話下,無奈我專業知識回答的不好,一度以為自己要一面遊,幸運的是面完第二天晚上九點多竟然收到了二面簡訊, 看來準備兩個月還是沒有白費的,二面就是綜合面了,主要考察是否認同公司文化,家庭,性格等方面,問的很隨意,自由發揮就好。面完以後就是漫長的等待,最終還是沒有被錄用,總結原因,一是自己一面專業知識回答的不好,二是出身不好,硬傷啊,,

面試問題:

1.如何確定系統最快時鐘達到100m速率

2.寫乙個fifo的讀寫控制

九月十七號,家鄉的公司,過去支援一下,同時也為了保持面試狀態,面試崗位c++後台開發,主要考察基礎知識掌握水平,發揮還可以,一面二面一上午完成,最終也拿到了offer

面試問題:

1.多執行緒通訊機制

2.使用迴圈佇列需要注意什麼

3.malloc和new的區別

4.虛函式的位址空間有多少位

5.linux基本操作命令考察

4. 京東

時間九月十八號,面試京東管培生,此次面試是我唯一的一次群面,也是我經歷過得最有趣的一次面試,一面群面,一組10個人,先花20分鐘做無領導小組討論,大家都很積極的發言,我也表現尚可,無領導小組討論這個過程只要你能提出自己的見解,並清晰的表達自己的思路都可以過關,接下來是小組選代表做總結發言,能爭取到這個機會一定要爭取,大大的加分項,再接下來就是1分鐘即興演講,題目隨機抽取,這個過程可以看出乙個人的說話水平和邏輯思維能力到底怎麼樣,我給自己打分60,剛好及格吧,不過接下來的事完全出乎我的意料,我是第二個做演講的,講完後就聽他們怎麼講,不知道到第五個還是第六個,是乙個女生,張嘴第一句話就把我笑噴了,「我今天的演講主題是,,,『真j8好用』,,」,當時就忍不住笑場了(原諒我吧,其實這是腎8的一句廣告詞,要從j和8中間斷句),面試官還在講台上坐著,真是忍不住了啊,,那個女生然後一本正經的逐字逐句的解釋了這一句話,還說了這句話的應用場景,,為了找工作也是蠻拼的,大家都不容易啊,最後結果是,我們一組10個人只有三個人進入了二面,這次經歷算是找工作的為數不多的一點笑料吧,看來我這種渣渣還是老老實實的找研發類的工作吧,,

5. cvte

時間來到了九月二十號,還是不停地面試,cvte據說待遇頗豐,前來一試,面試崗位嵌入式開發工程師。這裡給cvte的招聘工作人員點讚,面試體驗非常好,不管是接待人員還是面試官,都非常熱情和友好,說下不好的地方吧,除了面試地點很難找外,還有就是,我被一面直接pass掉了,,,

面試問題:

1.對嵌入式如何理解

2.是否做過驅動開發

3.執行緒間通訊的方法有哪些

4.堆和棧的區別

5.如何防止棧的溢位

6.專案中為什麼不用tcp而用udp傳輸

7.多型的理解

8.寫乙個makefile

手撕**:

1.用巨集定義定義函式:實現交換a,b的值,不能使用中間變數

2.寫一段**求a,b,c的最小公倍數

6. 國微電子

九月二十一號,深圳國微電子來校宣講,投遞崗位數位電路設計工程師,現場筆試,做的一團糟,筆試一直是我邁不過去的一道坎,,不過還是收到了面試通知,悲劇的是剛輪到我,hr就提醒面試官時間比較緊張,加快進度,面試也就草草了事,不過還是有所收穫,總結就是,當面試官讓你介紹專案時,你應該介紹哪些呢,最重要的兩點要說出來,一是專案難點,二是專案分工,另外注意語言表達要條理清晰且自信有力。

7. 兆芯8. 烽火通訊

烽火,在通訊人中可能知名度比較高吧,挺不錯的國企,九月二十二面完兆芯就接著下山面試烽火了,下了車中午1點多,當時那叫乙個困啊,整個人都無精打采,感覺這面試藥丸,但是一走進面試官的房間,精神就回來了,,面試表現比較滿意,兩個面試官,一老一少,面試過程非常放鬆,充滿了國企氛圍吧,一面過後第二天二面,二面5分鐘結束,也是濃重的國企風格的面試,只問了家庭和教育背景,回去等結果,最終還是沒有收到offer,,

面試問題

1.c++三個特點

2.多型的理解

3.跨時鐘域處理

4.單位元訊號只能打一拍來進行同步嗎?

5.亞穩態的理解

6.手寫上公升沿檢測**

7.c語言和verilog的異同點

8.最失敗的經歷

9.線性代數用在哪些工程領域(當時腦子反應慢,面試的什麼崗位,絕對就會用到線性代數啊,否則問 你幹嗎)

10.同步復位和非同步復位的優缺點

9. 展訊

展訊九月二十七來重郵宣講,現場人滿為患,我面的晶元驗證崗,有現場筆試,不過去參加筆試的都能收到面試通知,面試表現還不錯(已經算是老油條了),順利拿到offer

面試問題

1.介紹專案

2.寫出axi協議相關訊號

3.非同步fifo怎麼理解

4.c++中多型的理解,以及虛函式和純虛函式的區別

5.軟體設計模式,單態等

6.數的遍歷方法,有先序,中序,後序等

7.zynq的門數量,資源量

10. 海能達

海能達號稱小華為,華為沒給我面試通知,小華為竟然也沒得,果斷霸面去,展訊中午兩點多面完,打的下山去海能達面試酒店,剛到酒店,就接到展訊二面通知,又馬不停蹄的打的回學校二面展訊,展訊面完又打的下山面海能達,,這麼一番折騰,我也是深深的醉了,事實證明,號稱小華為的海能達根本不需要我,面試開始我進去坐下後,面試官說稍等,我去去就來,然後拿了兩個小麵包坐下邊吃邊問,先讓我介紹專案,我一番陳述,他表示並不感冒,丟擲乙個終極問題,你覺得你有什麼優勢,可以讓我錄取你而不錄取別人,,,此時我已意識到,已經沒戲啦233

11. 聯發科

聯發科是我面試的最後一家公司,面試崗位ic設計工程師,同樣是現場比試,題量比較大,不過還是把關鍵的題目做出來了,第二天也就是十月十三號參加面試,不得不說,面試酒店很豪華,聯發科的面試官也都很nice,不過等待時間一度讓我非常煎熬,上午一面過後,等了乙個半小時終於通知二面,二面過後,又是將近兩個小時的等待,總之結果是好的,成功拿到offer

面試問題:

主要是介紹專案,針對專案提問,很多細節性的問題,中間也夾雜著一些閒聊,面試感覺不錯,成功拿到offer。

校招季 程式設計題目(3 5)

2013 08 13,秋季校招準備工作第二天。題目 將乙個長度為 n的陣列隨機打亂。解答 思路 將陣列內的每個元素與它所在位置到結尾之間的乙個隨機位置的元素交換 void shuffle int data,int n 題目 輸入正整數m n,向指定陣列中填充m個 0,n 1 的不重複的隨機數。如果 ...

校招季 Python筆記二

1.有六種內建序列 列表 元組 字串 unicode 字串 buffer 物件和xrange 物件。2.列表可以修改,元組不可以。一般可用列表代替元組,除了用作字典的鍵。列表用 標識。3.通用的序列操作包括 索引 分片 加 乘 包含某序列。4.序列的正序號從 0開始向右,負序號從 1開始向左。x 4...

校招季 Python筆記三

1.字串是不可變的。2.format 類似於c 語言printf 中使用的格式化控制符,用法是 fmt tuple 只有元組和字典可以格式化多個值,序列只能格式化乙個值。fmt hello,s,s enough for ya?d f values world hot 15,1.123 print f...