解決了兩個sql問題

2021-08-29 05:42:40 字數 1071 閱讀 4580

friday february 17, 2006 - 05:24pm (cst)

1。decode()不僅僅針對固定值

(case when (b.aggregateno is null or b.aggregateno='') then customerid else b.aggregateno end)

也可以寫成

decode(aggregateno,null,customerid,'',customerid,aggregateno)

decode裡面放的是字段,而不是固定值,case when的語法實在難以寫正確。而sql又沒有發現ide,程式設計師離開ide還有點難辦,不過做ide的人卻不掙錢,borland都要賣掉他們的ide 部門了,不過今天公司竟然收到了borland的郵件,說我們有人使用非正版的jbuilder,唉....竟然還有人喜歡用jbuilder,不是說他不好,而是他的商業版本也太沒價效比了。

2。取前10條記錄放入臨時表的寫法

本來這是乙個簡單問題,用select first 10 * from table1 into temp temptable 就解決了,但是into temp table或者insert into 的時候都不能使用first,image。這種設計不知道出於什麼考慮

/*先從所有記錄中sum出總和,並且按中和排序放入臨時表中,這樣插入的記錄rowid在非異常情況下是連續的,並且按sum值排序*/

select t1.aggregateno aggregateno, sum(t1.balance) sumbalance

from aggr_n t1

group by t1.aggregateno

order by 2 desc, 1 asc

into temp temptop1

/*取前10 的記錄放入臨時表,條件是rowid小於min(rowid)+10*/

select aggregateno,sumbalance from temptop1

where rowid < (select min(rowid)+10 from temptop1)

order by 2 desc into temp temptop10

今天通過GG解決了兩個問題

1.svn的show log功能發生錯誤。svn 遇到不可讀的路徑 解決辦法 在conf serve.conf中,設定 anon access none 2.專案檔案 已被重新命名或已不在解決方案中 遇到問題的解決方案是乙個web解決方案,按照網上說的新增引用後,問題依舊。聯絡到我以前建過乙個sil...

解決兩個問題

早上一開啟虛擬機器登入ubuntu,就遇到兩個問題,2 登入進去後,ubuntu的工作列不見了。解決方案 1 對於第乙個問題,使用如下命令 sudo chmod 755 r home 使用者名稱 chmod 644 home 使用者名稱 dmrc 2 對於第二個問題,1,安裝gnome panel ...

這兩個VHDL的問題終於解決了!

這個星期做eda的課程設計,終於得用vhdl寫一些東西了,而不僅僅是實驗課的時候那樣十幾行就能解決了。寫長一點的時候,發現兩個相當棘手的禁令啊 1 乙個程序內不能進行兩次邊沿檢測。2 不同程序不能對同一訊號進行賦值。正因為這兩條 禁令 讓本來看上去很簡單的東西搞得我焦頭爛額了。於是,我打算把事情簡單...