testbench的檔案讀寫

2021-09-23 23:31:39 字數 842 閱讀 5534

reg [7:0] data_mem[0:255]; //定義乙個8bit x 256的陣列

initial

begin

$readmemh("sin.txt",data_mem); //將sin.txt中的資料讀入儲存器data_mem

end

後面就可以用

data_mem

作為你的測試資料了。例如可以通過以下**,將

data_mem

的資料送給

data_out:

always @(posedge clk)

begin

if(rst)

begin

data_out <= 8'd0;

i <= 8'd0;

endelse

begin

data_out <= data_mem[i]; //將儲存器中的資料輸出

i <= i + 8'd1;

endend

以下的

verilog

語句實現將訊號

data_out

的資料寫入

data_out.txt檔案

integer w_file;

initial w_file = $fopen("data_out.txt");

always @(i)

begin

$fdisplay(w_file,"%h",data_out);

if(i == 8'd255) //共寫入256個資料

$stop;

end

testbench中inout型別埠的處理方式

測試平台中需要宣告與待測模組輸入輸出埠對應的變數。與輸入埠相連線的變數定義為reg 與輸出埠相連線的變數定義為 wire。雙向埠inout在測試中需要進行簡單的處理。方法如下 為雙向埠設定中間變數inout reg作為該inout的輸出寄存,inout埠在testbench中要定義為wire型變數,...

檔案的讀寫

eg 文字中每一行的資料結構,它們是以tab鍵為間隔的 afghanistan baghlan 36.12 68.7 afghanistan balkh 36.758 66.896 include stdafx.h include fstream include using namespace st...

檔案的讀寫

為了讀而開啟檔案,要建立乙個ifstream物件,他的用發與cin相同,為了寫而開啟檔案,要建立乙個ofstream物件,用法與cout相同。一旦開啟乙個檔案,就可以像處理其他iostream物件那樣對它進行讀寫。在iosream庫中,乙個十分有用的函式是getline 用它可以讀入到string物...