徹底搞懂狀態機(一段式 兩段式 三段式)

2021-09-12 13:13:31 字數 3740 閱讀 2556

狀態轉移圖:初始狀態s0,a = 0,z = 0.如果檢測到1,跳轉到s1。

下一狀態s1,a = 1,z = 0.如果檢測到0,跳轉到s2。

下一狀態s2,a = 0,z = 0.如果檢測到0,跳轉到s3。

下一狀態s3,a = 0,z = 0.如果檢測到1,跳轉到s4。

下一狀態s4,a = 1,z = 0.如果檢測到0,跳轉到s5。

下一狀態s5,a = 0,z = 1.如果檢測到1,跳轉到s1;如果檢測到0,跳轉到s3(因為之前s4已經是1,s5是0,若再檢測到0,就是100,因此跳轉到s4)。

程式中將一段式(one_fsm)、兩段式(two_fsm)、三段式(three_fsm)以及對應的**檔案(***_tb)包含在了乙個工程中。要單獨執行、**每一種方式,只需將他們(one_fsm.v、two_fsm.v、three_fsm.v)分別設為頂層檔案(選中右擊,然後選擇set as top-level entity),然後在**設定裡面選擇對應的**檔案即可。見下圖:

補充:**)part1

1、好的狀態機標準

好的狀態機的標準很多,最重要的幾個方面如下:

第一,狀態機要安全,是指fsm不會進入死迴圈,特別是不會進入非預知的狀態,而且由於某些擾動進入非設計狀態,也能很快的恢復到正常的狀態迴圈中來。這裡面有兩層含義:其一要求該fsm的綜合實現結果**刺等異常擾動;其二要求fsm要完備,即使受到異常擾動進入非設計狀態,也能很快恢復到正常狀態。

第二,狀態機的設計要滿足設計的面積和速度的要求。

第三,狀態機的設計要清晰易懂、易維護。

2、狀態機描述方法

狀態機描述時關鍵是要描述清楚幾個狀態機的要素,即如何進行狀態轉移,每個狀態的輸出是什麼,狀態轉移的條件等。具體描述時方法各種各樣,最常見的有三種描述方式:

(1)一段式:整個狀態機寫到乙個always模組裡面,在該模組中既描述狀態轉移,又描述狀態的輸入和輸出;

(2)二段式:用兩個always模組來描述狀態機,其中乙個always模組採用同步時序描述狀態轉移;另乙個模組採用組合邏輯判斷狀態轉移條件,描述狀態轉移規律以及輸出;

(3)三段式:在兩個always模組描述方法基礎上,使用三個always模組,乙個always模組採用同步時序描述狀態轉移,乙個always採用組合邏輯判斷狀態轉移條件,描述狀態轉移規律,另乙個always模組描述狀態輸出(可以用組合電路輸出,也可以時序電路輸出)。

一般而言,推薦的fsm 描述方法是後兩種。這是因為:fsm和其他設計一樣,最好使用同步時序方式設計,以提高設計的穩定性,消除毛刺。狀態機實現後,一般來說,狀態轉移部分是同步時序電路而狀態的轉移條件的判斷是組合邏輯。

第二種描述方法同第一種描述方法相比,將同步時序和組合邏輯分別放到不同的always模組中實現,這樣做的好處不僅僅是便於閱讀、理解、維護,更重要的是利於綜合器優化**,利於使用者新增合適的時序約束條件,利於布局佈線器實現設計。

在第二種方式的描述中,描述當前狀態的輸出用組合邏輯實現,組合邏輯很容易產生毛刺,而且不利於約束,不利於綜合器和布局佈線器實現高效能的設計。

第三種描述方式與第二種相比,關鍵在於根據狀態轉移規律,在上一狀態根據輸入條件判斷出當前狀態的輸出,從而在不插入額外時鐘節拍的前提下,實現了暫存器輸出。

part2

時序電路的狀態是乙個狀態變數集合,這些狀態變數在任意時刻的值都包含了為確定電路的未來行為而必需考慮的所有歷史資訊。

狀態機採用veriloghdl語言編碼,建議分為三個always段完成。

三段式建模描述fsm的狀態機輸出時,只需指定case敏感表為次態暫存器,然後直接在每個次態的case分支中描述該狀態的輸出即可,不用考慮狀態轉移條件。

三段式描述方法雖然**結構複雜了一些,但是換來的優勢是:使fsm做到了同步暫存器輸出,消除了組合邏輯輸出的不穩定與毛刺的隱患,而且更利於時序路徑分組,一般來說在fpga/cpld等可程式設計邏輯器件上的綜合與布局佈線效果更佳。

示列模板如下:

//第乙個程序,同步時序always模組,格式化描述次態暫存器遷移到現態暫存器

always @ (posedge clk or negedge rst_n) //非同步復位

if(!rst_n)

current_state <= idle;

else

current_state <= next_state; //注意,使用的是非阻塞賦值

//第二個程序,組合邏輯always模組,描述狀態轉移條件判斷

always @ (current_state) //電平觸發

begin

next_state = x; //要初始化,使得系統復位後能進入正確的狀態

case(current_state)

s1: if(...)

next_state = s2; //阻塞賦值

endcase

end//第三個程序,同步時序always模組,格式化描述次態暫存器輸出

always @ (posedge clk or negedge rst_n)

...//初始化

case(next_state)

s1:out1 <= 1'b1; //注意是非阻塞邏輯

s2:out2 <= 1'b1;

default:... //default的作用是免除綜合工具綜合出鎖存器

endcase

end兩段式有限狀態機與三段式有限狀態機的區別

fsm將時序部分(狀態轉移部分)和組合部分(判斷狀態轉移條件和產生輸出)分開,寫為兩個always語句,即為兩段式有限狀態機。

將組合部分中的判斷狀態轉移條件和產生輸入再分開寫,則為三段式有限狀態機。

區別:二段式在組合邏輯特別複雜時適用,但要注意需在後面加乙個觸發器以消除組合邏輯對輸出產生的毛刺。三段式沒有這個問題,由於第三個always會生成觸發器。

設計時注意方面:

1.編碼原則

binary和gray-code適用於觸發器資源較少,組合電路資源豐富的情況(cpld),對於fpga,適用one-hot code。這樣不但充分利用fpga豐富的觸發器資源,還因為只需比較乙個bit,速度快,組合電路簡單。

2.fsm初始化問題:

gsr(gobal set/reset)只是在加電時清零所有的reg和片內ram,並不保證fsm能進入初始化狀態,要利用gsr,方案是適用one-hot code with zero idle,即初始狀態編碼為全零。已可以適用非同步復位rst

3.fsm輸出可以適用task

4fsm中的case最好加上default,預設態可以設為初始態

5.尤其注意

第二段的always(組合部分,賦值用=)裡面判斷條件一定要包含所有情況!可以用else保證包含完全。

6第二段always中,組合邏輯電平要維持超過乙個clock,**時注意。

徹底搞懂狀態機(一段式 兩段式 三段式)

例項 fsm實現10010串的檢測 狀態轉移圖 初始狀態s0,a 0,z 0.如果檢測到1,跳轉到s1。下一狀態s1,a 1,z 0.如果檢測到0,跳轉到s2。下一狀態s2,a 0,z 0.如果檢測到0,跳轉到s3。下一狀態s3,a 0,z 0.如果檢測到1,跳轉到s4。下一狀態s4,a 1,z 0...

verilog 三段式狀態機的技巧

三段式 多,但是有時鐘同步,延時少,組合邏輯跟時序邏輯分開並行出錯少。1 同步狀態轉移 2 當前狀態判斷接下來的狀態 3 動作輸出 如果程式複雜可以不止三個always always 後常接case case必須有default 對於fpga常用 狀態數較少,獨熱碼編碼 或者格雷碼 獨熱碼編碼 pa...

Moor Mealy FMS及三段式狀態機寫法

示例有限狀態機 finite state machine,fsm 包含幾個要素 輸入 狀態 狀態轉移條件 輸出。這裡討論的兩種狀態機區別在於輸出的產生 二段式 有兩個always block 狀態切換用時序邏輯描述 次態判斷和輸出用組合邏輯描述。這種寫法條理清晰,但輸出由組合邏輯描述因此可能存在競爭...