十進位制轉化為二進位制

2021-09-13 03:40:52 字數 645 閱讀 7589

今天我們來實現乙個有趣的小案例。

要求:任意輸入乙個正十進位制數把它轉化為二進位制數輸出。

我這裡使用了遞迴演算法

def transit(num,binary):

"""十進位制轉化為二進位制

:param num: 輸入的10進製

:return: none

"""if num >= 2:

remainder = num % 2

num_rem = num // 2

transit(num_rem,binary)

else:

for i in reversed(binary):

print(i,end='')

return none

if __name__ == "__main__":

binarys =

number = input("請輸入你要轉化為2進製的十進位制數:")

transit(int(number),binarys)

輸出結果如下

請輸入你要轉化為2進製的十進位制數:255

11111111

process finished with exit code 0

謝謝瀏覽

13 3十進位制轉化為二進位制

05.檔名稱 07.完成日期 2013年 11月 08.版本號 v1.0 09.對任務及求解方法的描述部分 遞迴 10.輸入描述 十進位制 11.問題描述 遞迴法做二進位制轉換 12.程式輸出 二進位制 13.問題分析 像張玉老師說的像剝洋蔥先找到芯,在寫出第n 1或n 1與n 2與第n項的關係就很...

FPGA 十進位制 轉化為二進位制

請原諒 還沒有寫完,後面一定補上 module operation input clk input rst n,output reg 3 0 num add,output reg 3 0 num sub,output reg 6 0 num mul,output reg 3 0 num div,ou...

十進位制小數轉化為二進位制小數

轉了這篇文章,完全是群裡討論計算機無法精確表示小數才想找一下原因的。究其原因就是十進位制小數無法用2進製表示 0.5什麼的可以表示 大學也學過,不過已經忘了。一 二進位制數轉換成十進位制數 由二進位制數轉換成十進位制數的基本做法是,把二進位制數首先寫成加權係數展開式,然後按十進位制加法規則求和。這種...