牛客網華為機測 6 取近似值

2021-10-03 10:36:54 字數 924 閱讀 5657

方法1:使用round()函式

#include

#include

using

namespace std;

intmain()

fix

朝零方向取整,如fix(-1.3)=-1; fix(1.3)=1;

floor

朝負無窮方向取整,如floor(-1.3)=-2; floor(1.3)=1;

ceil

朝正無窮方向取整,如ceil(-1.3)=-1; ceil(1.3)=2;

round

四捨五入到最近的整數,如round(-1.3)=-1;round(-1.52)=-2;round(1.3)=1;round(1.52)=2

方法2:利用scanf取整

#include

#include

using

namespace std;

intmain()

scanf("%d",&n)的工作原理是:

從當前輸入流中開始讀取字元,跳過所有的空白字元(空格、製表符、回車),直到第乙個非空白字元。如果該字元為數字,繼續向後讀入字元,直到遇到第乙個非數字字元,將該字元放回輸入緩衝區中。然後,將讀到的所有數字字元轉換成乙個整型數,並賦值給變數n。最後,返回成功讀入的資料個數1。

如果scanf讀到的第乙個非空白字元不是數字,那麼認為讀取失敗,n將不會被賦值,同時返回成功讀入的資料個數0。

如果scanf讀到eof標誌,則結束讀入並返回-1。之前讀入的所有數字仍然會被轉換為整型並賦值給n。

方法3: int向下取整

#include

#include

using

namespace std;

intmain()

Python實現取近似值(華為機試)

寫入乙個程式,接收乙個浮點數值,輸出該數值的近似整數值。輸入乙個浮點數 6.77接收的浮點數可能有兩種情況 1.大於或等於0 2.小於0 對於第1種情況,接收到的浮點數去掉整數部分後,若小數部分大於0.5,輸出的結果是整數部分 1。否則輸出的結果等於整數部分。對於第2種情況,接收到的浮點數去掉符號和...

華為機試取近似值(Python物件導向程式設計實現)

題目描述 寫出乙個程式,接受乙個正浮點數值,輸出該數值的近似整數值。如果小數點後數值大於等於5,向上取整 小於5,則向下取整。輸入描述 輸入乙個正浮點數值 輸出描述 輸出該數值的近似整數值 示例1輸入 5.5輸出 from math import ceil 引入math.ceil x class s...

牛客網 華為機試 020 牛客網

密碼要求 1.長度超過8位 2.包括大小寫字母.數字.其它符號,以上四種至少三種 3.不能有相同長度超2的子串重複 說明 長度超過2的子串 一組或多組長度超過2的子符串。每組佔一行 如果符合要求輸出 ok,否則輸出ng 示例1 021abc9000 021abc9abc1 021abc9000 02...