搭建UVM驗證環境常遇到的問題

2021-10-04 17:39:45 字數 739 閱讀 7618

1.在定義class時,new()函式的形參一定要指定預設值,否則uvm會報錯。如:

class idvalchkseq extends uvm_sequence;

`uvm_object_utils(idvalchkseq)

function new(string name = "");

super.new(name);

endfunction

endclass

2.定義sequence類時,一定要用`uvm_object_utils巨集註冊,否則設定default_sequence時,uvm會報找不到sequence的錯誤。

class idvalchktest extends base_test;

`uvm_component_utils(idvalchktest)

function new(string name, uvm_component parent);

super.new(name,parent);

endfunction

virtual function void build_phase(uvm_phase phase);

super.build_phase(name,parent);

endfuction

endclass

3.類裡面的方法指定了extern,virtual關鍵字時,在類的外面定義方法的時候,不需要再指定它們。

Torch環境搭建遇到的問題

torch環境搭建 git clone torch recursive有的時候會遇到 git error rpc failed curl 56 gnutls或者 unable to access gnutls handshake failed the tls connection was non p...

Android adb 環境搭建遇到的問題

建立乙個系統變數,這裡就叫android platform tools 對應的值輸入你android sdk 目錄下的platform tools目錄,然後編譯系統變數下的path,加入 android platform tools 到變數值就可以了,注意要重啟下android studio才能生效...

CDH環境搭建遇到的問題

永久修改 在 etc sysctl.conf檔案裡新增如下引數 或者 其實 寫入到 etc sysctl.conf就已經永久生效了,如果要讓剛寫入的立即也生效可以執行以下sysctl p 已啟用透明大頁面壓縮,可能會導致重大效能問題。請執行 echo never sys kernel mm tran...