ADC0809採集八路電壓

2021-10-06 08:50:29 字數 843 閱讀 7087

oe:輸出使能

start:高電平啟動

clock:工作頻率,不要超過640khz就好

eoc:開始進行ad轉換時為低電平,轉換結束後會輸出高電平

ale:將輸入位址鎖存,一般和start訊號共用,這樣在啟動時也相當於鎖存了位址

vref(+)(-):基準電壓

adda、addb、addc:選擇通道

in0-in7:8通道取樣

out1-out8:8位並行輸出,注意順序相反

很簡單的乙個電壓採集,主要是今天有同學問到這個怎麼使,給他講完之後順便做一下筆記。^^

ADC0809模數轉換

將模擬電壓通過ad轉換,通過at89c51微控制器接數碼管顯示轉換結果。adc0809的晶元介紹 adc0809的晶元簡介 include reg52.h char code shumaguan 16 共陰 define led p0 p1埠控制數碼管段選端 define wei p2 p3埠控制位...

ADC0809模數轉換與顯示

用電阻阻值大小控制,並用七段數碼管顯示0 500的數 adc0809是帶有8位a d轉換器 8路多路開關以及微處理機相容的控制邏輯的cmos元件。它是逐次逼近式a d轉換器,可以和微控制器直接介面。內部邏輯結構 由上圖可知,adc0809由乙個8路模擬開關 乙個位址鎖存與解碼器 乙個a d轉換器和乙...

VHDL狀態機控制ADC0809 轉貼

以前做硬體,現在轉做軟體了,對以前做的東西還是很懷念,想當年做的乙個用altera公司的epm7128slc84 7和adc0809做的乙個簡單系統,玩得團團轉,多有成就感啊。特別覺得狀態機的思想很奇妙。所以現在找了一篇vhdl狀態機的程式,懷念。基於vhdl語言實現對adc0809簡單控制原始碼 ...