專業課小實驗

2021-10-06 22:15:20 字數 2748 閱讀 8235

vhdl綜合練習

一、實驗目的

掌握vhdl基本程式設計方法;

掌握一些常用電路的vhdl設計;

複習quartus ii設計編譯和**驗證。

二、實驗要求

用vhdl語言完成10進製計數器的設計。

用vhdl語言完成占空比為50%的10分頻器的設計。

用vhdl語言完成驅動共陰極數碼管的顯示解碼器的設計。

在quartusⅱ軟體平台上完成編譯、**。

三、主要儀器裝置

電腦、quartus ⅱ軟體平台

四、實驗內容

1.10進製計數器程式

(1)輸入文字:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity cnt10 is

port(

clk:in std_logic;

en :out std_logic;

y:out integer range 0 to 10);

end cnt10;

architecture a of cnt10 is

signal q_temp: integer range 0 to 10;

begin

process(clk)

begin

if(clk』event and clk=『1』)then

ifq_temp = 9 then

en <= 『1』;

q_temp <= 0;

else

q_temp <= q_temp+1;

en <= '0';

end if;

end if;

y<=q_temp;

end process;

end a;;

(2)**

2.10分頻器程式

(1)輸入文字:

library ieee;

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

use ieee.std_logic_arith.all;

entity fdiv is

generic(n: integer:=10); --rate=n,bain是偶數

port(

clkin: in std_logic;

clkout: out std_logic

);end fdiv;

architecture a of fdiv is

signal cnt: integer range 0 to n-1;

begin

process(clkin) --計數

begin

if(clkin』event and clkin=『1』) then

if(cntprocess(cnt) --根據計數值du,控制輸出時鐘脈衝的高、低zhi電平

begin

if(cntend a;

(2)**

3.顯示解碼器程式

(1)輸入文字:

library ieee;

use ieee.std_logic_1164.all;

entity qeled7 is

port(data:in std_logic_vector(3 downto 0);

a,b,c,d,e,f,g:out std_logic);

end qeled7;

architecture led of qeled7 is

signal y: std_logic_vector(6 downto 0);

begin

process(data)

begin

case data is

when 「0000」=>y<=「1111110」 ;

when 「0001」=>y<=「0110000」 ;

when 「0010」=>y<=「1101101」 ;

when 「0011」=>y<=「1111001」 ;

when 「0100」=>y<=「0110011」 ;

when 「0101」=>y<=「1011011」 ;

when 「0110」=>y<=「1011111」 ;

when 「0111」=>y<=「1110000」 ;

when 「1000」=>y<=「1111111」 ;

when 「1001」=>y<=「1111011」 ;

when others=>null;

end case;

a<=y(6);b<=y(5);c<=y(4);

d<=y(3);e<=y(2);f<=y(1);g<=y(0);

end process;

end;

(2)**

五、實驗結果及分析

(1)10進製計數器

當時鐘上公升沿到來時產生計數加一,當計數為9(0111)時下乙個時鐘上公升沿到來時計數器清零並產生乙個時鐘週期的進製訊號。

(2)占空比為50%的10分頻器

由圖可知實驗結果正確,設定乙個5進製計數器,每當其記滿是反轉輸出訊號,也就是每10個週期訊號進行一次輸出週期訊號,實現占空比為50%的10分頻器。

3)驅動共陰極數碼管的顯示解碼器

共陰極是高電平工作所以0時是除g外都是高電平,可以實現顯示0

其他數字也可以依次類推。有圖可知我的實驗結果正確

專業課計畫

7月份計畫 2017年7月1日10 54 29 計畫 7月份結合大綱和課件把所有的知識點過一遍,現在基本已經過完,還差最後一章節的後半部分 抽時間看完 要求 系統性的過一遍知識點,腦子裡有個印象。然後所有的知識點都要理解。2017年7月12日11 00 02 之前訂的計畫已經提前完成。調整計畫 根據...

求 近代物理實驗專業課排課 的演算法

時間 一組 二組 三組 四組 五組 六組 七組 八組 九組 第四週日 上午 1 1 11 2 89 99 3 78 88 4 67 77 5 56 66 6 45 55 7 34 44 8 23 33 9 12 22 下午 2 12 22 3 1 11 4 89 99 5 78 88 6 67 77...

專業課的複習與考試

在近兩周的時間裡,我都在和自己的專業課的複習與考試打交道。通過這兩周的專業課的學習,還是有好多想和自己說的,還有,自己有好多的方面做得不好。還有一點,就是對待學習知識與考試的關係。有的時候僅管自己學習的很系統,但是要去了解老師要考什麼內容,不能盲目的複習,這一點也就叫做考試的技巧吧。明白了自己哪些方...