Verliog HDL硬體描述語言學習筆記

2021-10-07 23:44:05 字數 1600 閱讀 8959

第一次寫,這算自己的**作,多少還是有點緊張。

寫這篇文章主要有兩個目的:一是想記錄一下自己對於verliog的學習程序;二是想分享一下自己的一些學習心得。 如果有什麼地方寫的不足的地方或者什麼不對的地方還請大家多多指教。以下所有內容僅僅屬於個人見解,歡迎交流。

數字積體電路設計流程:

還有在這裡我想問一下類似於上面的這樣的圖怎麼畫啊,整的我頭都大了,還是不會,最後還是決定畫在word裡畫完再截圖拖過來吧qaq,我可真是個機智的小聰明。

1.空白符:空格符(\b)

製表符(\t) 換行符 換頁符

2.注釋符: 1)單行//

2)多行:/* * * /

3.識別符號:字母、數字、$符號和_下劃線符號組成,且第乙個字元必須是字母或下劃線。識別符號的字母區分大小寫

4.轉義識別符號:/* *

5.數值verliog hdl 4種基本邏輯值狀態

邏輯值狀態含義0

低電平/假

1高電平/真

x/x不確定/錯誤

z/z高阻態

實數:1)十進位制表示

2)科學計數法表示 e/e

物理資料型別:

(真的好難,畫個**也不會,還是截圖**好)

(了解)抽象資料型別:整型(integer)、時間型(time)、實型(real)及引數型(parameter)

1)算術操作符:+、-、*、/、%(取模)

2)關係操作符:、>=、<=

3)相等關係操作符:== 、!=、 === (全等)、!===(非全等)

=、 === 區別:真值表不同

4)邏輯運算子:與&&、或||、非! (結果只有0、1)

5)按位操作符:取反 ~、 按位與&、或|、異或^、 同或^~

6)歸約操作符(縮位運算子):與&、或|、異或^,相應的非操作~&、 ~|、 ~^、 ^~

7)位移操作運算子:左移《、右移 》

8)條件運算子:《條件表示式》? 《表示式1> 《表示式2>

條件表示式為真,執行表示式1;為假,執行表示式2

9) 連線 和 複製運算子

連線 :格式: 裡面的內容用「,」隔開

複製:格式如下圖(右邊的)

相當於一塊電路,用於描述某個設計的功能或結構以及其他模組通訊的外部埠。

好吧,請原諒我的愚蠢,我承認我只會插。寫了這麼一點點東西花了我好長時間,檢查了好幾遍也不知道中間有沒有什麼錯誤沒有檢查出來。以後返回頭看的時候估計會發現吧。嘻嘻,不過總體感覺還行不錯,今天就到這裡。明天接著學。加油!

硬體描述語言VHDL 並行語句

並行語句是是硬體描述語言的特殊之處,這也是硬體描述語言不可少的一部分。硬體電路例如 匯流排,它需要多個輸入。這個時候,我們必須併發的給出匯流排上的資料。軟體程式語言也有併發,但是在效能要求不是苛刻的情形下,無需使用併發。軟體的併發由多執行緒和多程序來實現。在vhdl中,併發語句是同時執行的。它們的書...

EDA硬體描述語言VHDL(二)

乙個完整的vhdl程式 稱為設計實體。1 庫和程式包呼叫宣告語句構成的模組 2 描述電路訊號埠和引數通道的實體模組 3 具體描述電路功能的結構體模組 entity 實體名 is generic 引數名 資料型別 port 埠表 資料型別 end entity 實體名 1 實體名 實體名最好根據相應電...

硬體描述語言VHDL 元件例化

vhdl語言的一大特點是 自頂向下 進行硬體設計。這樣的方式能最大化利用介面的優點。從系統的觀點來看,這是層次化的設計,有利於擴充套件。元件例化,簡單來說就是將以前設計的實體當做本設計的乙個元件,然後利用vhdl語句將各元件之間的連線關係描述出來。元件例化語句由兩部分組成,一部分是元件定義,即將現成...