Verdi使用教程

2021-10-09 00:00:14 字數 925 閱讀 1202

首先需要明確的是verdi只是乙個檢視波形的檔案,搭配模式有vcs+verdi、irun+verdi、queta+verdi。在本系列中用vcs和verdi搭配起來熟悉。

fsdb檔案是verdi用來檢視波形所需的檔案,這裡我就不對我的rtl進行介紹了,因為是以前在quartus下面驗證過的。

其中因為我的環境變數裡面已經設定好了vcs=vcs -full64所以只需要輸入vcs即可,然後+v2k代表支援2001的語法,得到檔案如下

另外你也許會報錯,最後沒法生成.simv的檔案

下面先使用第一種方法,在tb檔案裡面加上系統函式,然後生成fsdb檔案。

實際上又會出現下面錯誤

undefined system task call to 『$fsdbdumpfile』

解決辦法

三個要點:

1、 把novas_home和ld_library_path這兩個變數設對

2、vcs加-fsdb引數

3、vcs和verdi的版本不要相差太遠

日常記錄(67)verdi

vcs compile階段加上 kdb lca 這個選項,這樣就能在vcs compile中看到simv.daidir kdb.elab 這個目錄,這個目錄就是vcs為verdi產生的庫,其實就是vcs幫verdi生成了乙個verdi認識的中間檔案。如果有了 simv.daidir kdb.elab...

Verdi 看波形常用快捷操作

verdi看波形的基本操作小結 快捷鍵 大寫字母 shift 小寫 g get,signlas新增訊號,顯示波形 n next,search forward選定訊號按指定的值 上公升沿,下降沿,both,指定value 向前跳轉 n 與n功能相同,方向向後 c color,調整所選訊號的波形顯示顏色...

verdi與vcs結合編譯驗證

1 首先編寫makefile檔案 run vcs f filelist.f r fsdb full64 l analyze.log verdi verdi f filelist.f ssf mc1 manage tb.fsdb topmc1 manage tb clean rm rf csrc no...