左移暫存器vhdl 移位暫存器的VHDL設計

2021-10-13 02:04:25 字數 1241 閱讀 5396

系部

計算機與資訊工程系

班級學號

姓名課程名稱

pld原理與應用

實驗日期

2012.10.31

實驗名稱

實驗三移位暫存器的

vhdl

設計成績

實驗目的:

、建立乙個移位模式可控的

位移位暫存器。

、練習建立工程、檔案、實體、結構體的建立,編譯、修改、**。

實驗條件:

裝有quartus

ⅱ軟體的電腦

實驗內容與步驟:

一、實驗內容:

建立乙個移位模式可控的

位移位暫存器,

是用case

語句設計的並行輸入輸出

移位暫存器。利用程序的順序語句構成了時序電路,同時又利用了訊號賦值的並行

特點實現了移位。

二、實驗步驟:

乙個移位模式可控的

位移位暫存器的編譯與**:

:建立工作苦資料夾和編譯設計檔案。

新建乙個資料夾。首先利用

windows

資源管理器,在

eda預設的工作庫

work

)中新建乙個資料夾命名

shift

輸入源程式。

開啟quartus

選擇file-new

命令。在新建視窗中的

design

file

欄選擇編譯檔案的語言型別即

vhdl

file

選項。然後再

vhdl

文字編譯

視窗輸入移位暫存器的程式:

library ieee;

use ieee.std_logic_1164.all;

entity shift is

port(clk,co:in std_logic;

md:in std_logic_vector(2 downto 0);

d:in std_logic_vector(7 downto 0);

qb:out std_logic_vector(7 downto 0);

cn:out std_logic);

end entity;

architecture beha

v of shift is

signal reg:std_logic_vector(7 downto 0);

signal

cy:std_logic;

移位暫存器示例

這裡的移位暫存器不是簡單的向左移位將序列輸入轉換為並行輸出,而且要保留最後乙個週期的輸入數作為下一次輸出的最高位,如下所示 module shift reg clk,rst,din,dout ordy input clk input rst input 7 0 din output 31 0 dou...

線性反饋移位暫存器(LFSR)實現

線性反饋移位暫存器 移位暫存器 組合邏輯反饋 用處 產生偽隨機序列 資料壓縮 計數器 資料編碼解碼等等 好處 具有速度和面積優勢 特點 產生偽隨機序列的最大長度 2 n 1 種類 one to many many to one 最大 的線性反饋移位暫存器 最大是指能產生的偽隨機序列長度最長 乙個d觸...

暫存器(通用暫存器)

因為學習使用的是王爽的 組合語言 第3版 因此也只能提到8086cpo的暫存器。對於其他而言,原理都是相通的。對於8086暫存器,有14個暫存器,主要是 ax bx cx dx si di sp bp ip cs ss ds es psw。一 通用暫存器 8086的通用暫存器有ax bx cx dx...