ewb交通燈報告和檔案 基於EWB的交通燈設計

2021-10-18 18:52:23 字數 826 閱讀 1946

本文著眼於目前普遍應用在城市道路上的交通燈控制系統,從課程設計的題目要求出發,設計了乙個十字路口主次街道的交通燈控制電路。

首先進行交通燈狀態變換的分析和交通燈總體框架的設計,接著提出了2種電路設計方案,通過優劣比較後選定了方案2。電源電路由555定時器產生1hz的脈衝訊號;根據交通燈的四種執行狀態依次迴圈,可轉化為12種狀態,只需用一塊74ls163進行計時,最後通過相應的邏輯門實現對交通燈亮滅的控制,並通過ewb進行**顯示。

設計乙個主要街道和次要街道十字路口的交通燈控制器。

要求如下:

1.主要街道綠燈亮6s,黃燈亮2s;

2.次要街道綠燈亮3s,黃燈亮1s。依次迴圈。

說明:當主要街道亮綠燈和黃燈時,次要街道亮紅燈(8s),當次要街道亮綠燈和黃燈時,主要街道亮紅燈(4s)。其中主要街道亮綠燈,黃燈和紅燈分別由mg,my,mr表示;次要街道亮綠燈,黃燈和紅燈分別由cg,cy,cr表示。

2交通燈控制電路分析

2.1交通燈執行狀態分析

交通燈控制電路,要求主次街道各有三盞燈,分別為紅、黃、綠。乙個方向綠燈、黃燈亮時,另乙個方向紅燈亮。每盞燈順序點亮,迴圈往復,每個方向順序為綠燈、黃燈、紅燈。交通燈的執行狀態共有四種,分別為:

狀態a:主街道的綠燈亮,次街道的紅燈亮;(6s)狀態b:主街道的黃燈亮,次街道的紅燈亮;(2s)狀態c:主街道的紅燈亮,次街道的綠燈亮:(3s)狀態d:主街道的紅燈亮,次街道的黃燈亮;(1s)

4種狀態迴圈往復,並且紅燈的倒計初始值為綠燈的倒計初始值和黃燈的倒計初始值之和。交通燈電路的具體執行狀態框圖如下所示;

部分檔案列表

檔名大小

基於ewb的交通燈設計.pdf

809k

基於verilog的交通燈

狀態說明 1,初始化 東西南北的燈全亮 2,東西綠燈亮,南北紅燈亮 20秒 3,東西黃燈亮,南北紅燈亮 5秒 4,東西紅燈亮,南北綠燈亮 20秒 5,東西紅燈亮,南北黃燈亮 5秒 6,迴圈 2,3,4,5,如下 timescale 1ns 1ps company author gong create...

基於verilog的交通燈程式

module traffic clk,sm bit,key,sm seg,en,rst,hold,light1,light2 input clk 定義時鐘引腳 input rst,en 定義復位和使能引腳 output 7 0 sm bit 定義數碼管位選引腳 output 7 0 sm seg 定...

51微控制器 交通燈實驗及報告

1.實驗目的 學習模擬交通燈控制的實現方法 掌握proteus硬體 與除錯。2.任務 根據要求編寫程式,並寫出原理性注釋 將檢查程式執行的結果,分析一下是否正確 完成所建工程的 及除錯。3.實驗內容及要求 按照電路要求在protues中設計電路圖。程式設計實現如下功能 用微控制器埠作輸出口,控制四個...