嵌入式之數碼管動態掃瞄

2021-10-20 17:11:56 字數 638 閱讀 7370

總結數碼管作為顯示的部件,在小家電中得以廣泛的應用

如果根據乙個數碼管是8位的話,只用單獨的i/o來控制,需要用到32+4個i/o,顯然這樣比較浪費微控制器的資源。

通常條件下,人眼的識別連貫影象的速度是24幀/秒,也就是1000毫秒/24幀,大約為40ms(毫秒)。所以我們可以利用這個人眼**影像時,產生的視覺延遲。(來欺騙大腦)

**如下(示例):

unsigned

char u8led_cache[4]

;//用來顯示的數碼管資料的快取

void

scan_led

(unsigned

char data)

//中斷函式

define_isr

(timer1_2ms,

0x10

)//2ms觸發,16m主頻

這裡需要把grid段關閉,然後把seg段開啟,然後再開啟grid段,操作不當會造成數碼管虛影。

原理圖如下:

4個數碼管共用seg段,在不同的時間段開啟不同的grid段。 (注意數碼管的共陰共陽問題)

FPGA動態掃瞄數碼管

功能 用兩個數碼管顯示0 99的數,每隔一秒加1。由於數碼管的段選段是連在一起的,要想兩個數碼管顯示不一樣的值,就必須動態地掃瞄數碼管。因為人眼地時間解析度是20ms,只要掃瞄數碼管地的週期小於20ms,就可以使用殘影讓數碼管顯示數值,給人的感覺就是數碼管同時顯示了兩個數字。使用到的模組 1.查詢表...

嵌入式學習筆記2 數碼管的動態顯示

動態顯示原理 動態顯示的特點是將所有位數碼管的段選線併聯在一起,由位選線控制是哪一位數碼管有效。這樣一來,就沒有必要每一位 數碼管配乙個鎖存器,從而大大地簡化了硬體電路。選亮數碼管採用動態掃瞄顯示。所謂動態掃瞄顯示即輪流向各位數碼管送出字形碼 段選 和相應的位選,利用發光管的餘輝和人眼視覺暫留作用,...

fpga學習日記 數碼管掃瞄

數碼管顯示是比較簡單的顯示方式,在開發的過程中很多時候能起到驗證和顯示狀態的作用。由於開發最重要的就是實際上手操作,在實戰中慢慢學習verilog的語法細節 之前有學習過vhdl和c 數碼管通常是七段數碼管,感覺加點應該算八段,但是習慣於叫它七段數碼管。我使用的板子上是八位的數碼管,板子原理圖如下 ...