IC設計中同步復位和非同步復位

2022-10-09 13:12:08 字數 779 閱讀 8785

非同步復位

非同步復位,同步釋放

本文部分摘自《verilog程式設計藝術》。

同步復位和非同步復位是積體電路設計中兩種不同的訊號復位方式。長期以來,關於這兩者之間的優劣眾說紛紜,而兩者又都在各種電路中被大規模使用,無法明確的判斷出孰好孰壞。本文對同步復位和非同步復位的優缺點進行了分析,並對比了兩種復位方式綜合出的電路。希望讀者們在看完之後能夠對這兩種不同的復位方式有乙個整體的認識,並對使用何種復位方式有自己的理解。

同步復位基於這樣的前提:只有在時鐘有效沿,復位訊號才能影響暫存器的狀態。

通過對上面兩種復位方式的總結,我們可以了解到:非同步復位雖然有著不影響時序,復位穩定的優點,但其釋放必須滿足 recovery time,也就是復位訊號的 set-up time,這樣才能保證在復位時系統不會進入亞穩態。

我們通過下圖的這種電路來實現復位訊號的同步釋放。下圖中的 masterreset_n 是全域性的復位訊號,rst_n 是輸入的非同步復位訊號。我們通過兩個暫存器同步復位訊號,因為復位訊號是非同步撤銷的,在靠近時鐘有效沿處復位的撤銷可能會引起亞穩態,第二個暫存器的作用就是用來消除這個可能的亞穩態。

同時,對於第二個暫存器來說,在復位撤銷時(不管是否滿足 recorvery time)它的輸入端和輸出端一定都是0,因此第二個暫存器沒有進入亞穩態的風險。這樣,通過兩個暫存器的同步,我們可以有效地避免非同步復位訊號釋放時系統進入亞穩態的風險,是系統設計中復位方式的最好選擇。

同步復位與非同步復位 非同步復位和同步復位區別

同步復位與非同步復位 非同步復位和同步復位區別.瀏覽 188次 一 同步復位與非同步復位特點 同步復位就是指復位訊號只有在時鐘上公升沿到來時,才能有效。否則,無法完成對系統的復位工作。非同步復位是指無論時鐘沿是否到來,只要復位訊號有效,就對系統進行復位。二 非同步復位和同步復位的優缺點 1 同步復位...

同步復位 非同步復位 非同步復位同步釋放筆記

同步復位 非同步復位 非同步復位同步釋放筆記 一般來說,競爭 冒險是由於組合電路競爭冒險產生毛刺,從而影響整個邏輯電路。其實時序電路中也是存在競爭 冒險的,而這一特性主要是由d觸發器輸入端訊號之間的竟爭產生的。存在以下兩種競爭 冒險。1 clk上公升沿與rstn下降沿同時到達 實際上對於d觸發器而言...

同步復位和非同步復位的比較

同步復位 同步復位 顧名思義,同步復位就是指復位訊號只有在時鐘上公升沿到來時,才能有效。否則,無法完成對系統的復位工作。用verilog描述如下 always posedge clk begin if rst n end 非同步復位 非同步復位 它是指無論時鐘沿是否到來,只要復位訊號有效,就對系統進...