vivado ILA核使用教程

2021-09-27 10:18:24 字數 2918 閱讀 5841

ila可以加在**裡使用,也可以加在網表裡使用。在這裡舉例加在**中使用,硬體平台:xilinx ax7020

1.開啟vivado,新建專案(選擇對應的晶元型號)

2.新增原始檔,編寫rtl**

`timescale 1ns / 1ps

//// company:

// engineer:

// // create date: 2019/03/29 14:03:40

// design name:

// module name: counter

// project name:

// target devices:

// tool versions:

// description:

// // dependencies:

// // revision:

// revision 0.01 - file created

// additional comments:

// //

module counter(

input clk,

output [3:0]led

);wire clk;

(* keep = "ture" *)reg [3:0] cnt = 4'd0;

assign led = cnt;

always@(posedge clk)

begin

cnt <= cnt + 4'd1;

end

endmodule

3.加入ila核

在vivado工程中開啟ip catalog選項,找到ila核

配置ila核,主要配置4個地方:1.元件的名字,2. 需要抓取的訊號的個數,3. 抓取的訊號的深度,4.所抓取的訊號的寬度,此例子的cnt是4bit。ok!

4.ip核配置完成,預設選擇,generate

5.在rtl源**中新增例化ila核,ila核的clk訊號需要連線到需要觀察訊號的相應時鐘域,在乙個rtl設計中是可以新增多個ila核的,用於觀察不同時鐘域的訊號。

`timescale 1ns / 1ps  

module counter(

input clk,

output [3:0]q

);wire clk;

(* keep = "ture" *)reg [3:0] cnt = 4'd0; //在rtl中的訊號前加上(*keep = "true"*)即表示抓取該訊號

assign q = cnt;

always@(posedge clk)

begin

cnt <= cnt + 4'd1;

end

ila_1 u_ila(

.clk(clk),

.probe0(cnt));

endmodule

6.新增xdc約束檔案,繫結引腳

set_property package_pin u18 [get_ports clk]

set_property iostandard lvcmos33 [get_ports clk]

set_property iostandard lvcmos33 [get_ports ]

set_property iostandard lvcmos33 [get_ports ]

set_property iostandard lvcmos33 [get_ports ]

set_property iostandard lvcmos33 [get_ports ]

set_property package_pin j16 [get_ports ]

set_property package_pin k16 [get_ports ]

set_property package_pin m15 [get_ports ]

set_property package_pin m14 [get_ports ]

7.綜合

8.生成bit檔案,生成後選擇open hadrware manager

9.連線硬體

12.完美結束!

注:

使用ila抓取資料**:

write_hw_ila_data -force -csv_file c:/users/administrator/desktop/1.csv

Altera FFT核使用詳解

快速傅利葉變換 fast fourier transform 最為一種高效的演算法,被廣泛的用於訊號處理與資料分析等領域。對於設計工程師來講,自己動手取樣可程式語言來實現乙個fft ifft模組,不知要花費多少心血。所幸的是altera和xilinx兩大巨頭都提供了自己fft核,本文將詳細講解如何使...

Vivado PLL IP核的使用

當我們需要用到分頻或者倍頻的時候,就需要使用vivado中的 pll ip核來獲得我們想要的時鐘頻率。下面簡單說明一下如何配置pll ip核。1 查詢 pll ip核 2 指定用於分頻的輸入頻率 3 設定輸出頻率 相位以及占空比 4 設定locked訊號的名字 locked訊號是用來觀察pll輸出時...

FIFO IP核使用感受

本次實驗建立了乙個8位輸入 4位輸出的ip核心,並將ip核心所有訊號都進行新增 先進行前 寫入時鐘為讀取時鐘的兩倍 第1個 wclk 上公升沿,wrreq 1 第2個 wclk 上公升沿,當 wrreq 置 1,此時寫入送入到 data 埠的資料,此時寫入值為 8 h12 寫入過程發生在上公升沿 第...