非同步復位 同步釋放

2021-09-29 00:07:53 字數 758 閱讀 5454

目錄

非同步復位 同步釋放

兩級同步基本可以消除亞穩態

在進行時序分析的時候要保證傳輸的訊號滿足建立時間和保持時間,避免取樣發生亞穩態(亞穩態會造成取樣不確定;亞穩態傳播)。同樣復位訊號在復位和釋放的時候也要滿足建立時間和保持時間。一般採用非同步復位同步釋放的方式,如下圖所示

左邊兩個暫存器是同步釋放暫存器,右邊是需要復位的design。

1. 當rst_async_n為低,復位的時候,立馬非同步復位design。

2. 當rst_async_n由低變高,復位釋放的時候,第一級暫存器取樣vcc可能出現亞穩態,第二級再同步一次,基本不會出現亞穩態。

always @ (posedge clk, negedge rst_async_n)  

if (!rst_async_n)

<= 0;

else

<= ;

assign rst_sync_n = rst_s2;

endmodule

同步釋放電路中,第一級暫存器一般會發生亞穩態,亞穩態傳播一段時間(小於乙個週期)後,會恢復穩定,第二級暫存器對穩定後的訊號取樣,不會發生亞穩態。但如果工藝很先進的時候,亞穩態持續了乙個週期以上,那麼第二級暫存器取樣也會發生亞穩態,這樣就需要第三極暫存器同步。

參考

同步復位 非同步復位 非同步復位同步釋放筆記

同步復位 非同步復位 非同步復位同步釋放筆記 一般來說,競爭 冒險是由於組合電路競爭冒險產生毛刺,從而影響整個邏輯電路。其實時序電路中也是存在競爭 冒險的,而這一特性主要是由d觸發器輸入端訊號之間的竟爭產生的。存在以下兩種競爭 冒險。1 clk上公升沿與rstn下降沿同時到達 實際上對於d觸發器而言...

非同步復位同步釋放

結構簡單,節省資源 待深度挖掘,為什麼就結構簡單了呢?1 這裡有乙個巨集觀解釋 最好是系統一起復位釋放,但是時鐘域不同,不可能保證系統一起復位釋放。一般來說,系統復位釋放的順序,是需要保證的。否則系統就是不安全的。舉個例子,系統啟動時,核心讀取啟動指令,要powerdown某外設 但是powerdo...

非同步復位同步釋放

簡介 在實際的工程中選擇復位策略之前必須考慮許多設計方面的問題,如使用同步復位或者非同步復位或者非同步復位同步釋放 asynchronous reset synchronous release或者synchronized asynchronous reset 以及是否每乙個觸發器都需要進行復位。復位...