DV Arbiter驗證方案分享(續)

2021-09-29 16:37:53 字數 722 閱讀 4000

前言:前回分享了arbiter驗證的基本思想(link),下面做乙個推廣。並且提供一種使用generate來做assert和cover property的方法。

一、round robin arbitor的驗證。

對於乙個n輸入的round robin arbitor,需要用n個counter去統計回ack的數量,當大於3時,前回的做法就顯得有點笨拙了。下面採用generate for來生成這些counter。以6輸入的round robin arbitor為例,實現程式碼如下:

input [5:0]  mem_wr_req;

input [5:0] mem_wr_ack;

reg [7:0] no_ack_cnt[6];

generate

for(genvar i = 0; i < 6; i++) begin : cnt_6src_rob_arb

always @ (posedge clk or negedge rst_n) begin

if(!rst_n)

no_ack_cnt[i] <= 0;

else if(mem_wr_req[i] & ((|mem_wr_ack) & (mem_wr_ack[i] == 1'b0)))

no_ack_cnt[i] <= no_ack_cnt

DV Arbiter驗證方案分享

前言 在數位電路中,arbiter是乙個經常使用的ip,雖然電路的實現非常簡單,但是對dv來講,確實非常不好驗證。下面就分享一種arbiter的驗證方案,不妨稱之為 分段驗證法 如下圖所示的module,有2級arbiter,第一級是fix priority arbiter,第二級是round ro...

DV arbiter 的驗證思路

對於arbiter 驗證,目前有了解到幾種狀況 1.可以完全用reference model 來預期golden value 2.由於hw比較複雜,需要借助hw internal signal 來判斷,比如arbiter 和sram 空滿強相關,此時就需要借助hw signal.3.check 時不...

智慧型健身方案分享

序 因為目前再物聯網公司工作,所以有時候看到一些傳統行業都在想能不能跟我們物聯網結合?是否有物聯裝置的切入點?這次是取健身房,看到健身裝置在邊運動邊思索的時候想到的,也在公司發展委員會分享了想法。就是不知道高層是什麼想法了。但是作為技術,過後想了下場景互動與資料價值 裝置接入等,有了個思路,就跟大家...