DV Arbiter驗證方案分享

2021-09-28 19:38:58 字數 632 閱讀 2944

前言:在數位電路中,arbiter是乙個經常使用的ip,雖然電路的實現非常簡單,但是對dv來講,確實非常不好驗證。下面就分享一種arbiter的驗證方案,不妨稱之為「分段驗證法」。

如下圖所示的module,有2級arbiter,第一級是fix priority arbiter,第二級是round robin priority arbiter。其中fix priority arbiter的功能是:當gc_sch_bsi_req與l1_sch_bsi_req同時到來時,gc的request具有高優先順序。round robin priority arbiter的功能是:在連續兩次sch_bsi_req與imm_bsi_req同時到來時,雙方都要收到一次ack。

以下是驗證思路:

第一步:monitor第一級arbiter的input:l1_sch_bsi_data與gc_sch_bsi_data。並且分別放入2個queue中,然後分別與reference產生的data序列比較。這是第一次data序列check,如果正確,說明arbiter之前的電路行為復合預期。

第二步:

DV Arbiter驗證方案分享(續)

前言 前回分享了arbiter驗證的基本思想 link 下面做乙個推廣。並且提供一種使用generate來做assert和cover property的方法。一 round robin arbitor的驗證。對於乙個n輸入的round robin arbitor,需要用n個counter去統計回ac...

DV arbiter 的驗證思路

對於arbiter 驗證,目前有了解到幾種狀況 1.可以完全用reference model 來預期golden value 2.由於hw比較複雜,需要借助hw internal signal 來判斷,比如arbiter 和sram 空滿強相關,此時就需要借助hw signal.3.check 時不...

智慧型健身方案分享

序 因為目前再物聯網公司工作,所以有時候看到一些傳統行業都在想能不能跟我們物聯網結合?是否有物聯裝置的切入點?這次是取健身房,看到健身裝置在邊運動邊思索的時候想到的,也在公司發展委員會分享了想法。就是不知道高層是什麼想法了。但是作為技術,過後想了下場景互動與資料價值 裝置接入等,有了個思路,就跟大家...