python程式設計題 質數對數

2021-10-03 18:26:29 字數 1301 閱讀 6728

給定乙個正整數,編寫程式計算有多少對質數的和等於輸入的這個正整數,並輸出結果。輸 入值小於1000。 如,輸入為10,程式應該輸出結果為2。(共有兩對質數的和為10,分別 為(5,5),(3,7))

輸入描述: 輸入包括乙個整數n,(3 ≤ n < 1000)

輸出描述: 輸出對數

示例1 :

輸入:10

輸出: 2

# 判斷是否質數

defjudge

(n):

for i in

range(2

,n):

if n%i==0:

return

false

else

:return

true

num =

input()

num =

int(num)

counter=

0li=

for i in

range(2

,num)

:# 滿足題設兩個條件且只取一半

# 判斷是否質數

defjudge

(n):

for i in

range(2

,n):

if n%i==0:

return

false

else

:return

true

num =

int(

input()

)li =

[i for i in

range(2

,num)

if judge(i)

]counter=

0for i in li:

# 滿足題設條件且只取一半

verilog程式設計題 取對數

3.4.小結 寫乙個組合邏輯 可以直接輸出以2為底的對數值,向上取整。這個 主要是用來熟悉for迴圈的使用,取以2為底的對數,通過右移實現。module log input wire 31 0 in,input wire clk,input wire rst n,output wire 7 0 ou...

演算法題 篩質數(Python)

給定乙個正整數n,請你求出1 n中質數的個數。輸入格式 共一行,包含整數n。輸出格式 共一行,包含乙個整數,表示1 n中質數的個數。資料範圍 1 n 10 6 輸入樣例 8輸出樣例 4方法1 誒氏篩法 o nloglogn n int input st true n 1 cnt 0 primes f...

程式設計 找質數

一天蒜頭君猜想,是不是所有的偶數 除了 22 都可以用兩個質數相加得到呢?於是聰明的蒜頭君就找你來驗證了。輸入格式 第一行輸入乙個整數 tt 表示測試組數。接下來 tt 行,每行乙個整數 nn。輸出格式 輸出兩個整數,因為答案可能有多個,所有要求輸出的這兩個整數是所有答案中字典序最小的。資料範圍 對...