計數器驅動LED閃爍

2021-10-04 08:11:18 字數 866 閱讀 9361

一、fpga中最常見的就是計數器,本例為用fpga控制乙個led,假設電路中led低電平點亮,fpga控制使乙個led以1ms速度翻轉。

二、分析思路:核心為寫乙個計數器,計數器計數到1ms時反轉led對應的管腳,假設fpga主頻50m,週期為20ns。所以計數器計數為1_000_000/20-1=49_999。

三、verilog**:

module led01(

clk,

rst_n,

led); input clk;

input rst_n;

output reg led;

//led低電平點亮,使乙個led以1ms速度翻轉。

reg [15:0]cnt;

//寫乙個計數器

always@(posedge clk or negedge rst_n)

if(!rst_n)

cnt <= 0;

else if(cnt == 49_999) // 1ms = 1_000_000/20 -1 = 49_999

cnt <= 0;

else

cnt <= cnt + 1'b1;

always@(posedge clk or negedge rst_n)

if(!rst_n)

led <= 1;

else if(cnt == 49_999)

led <= ~led; //訊號在always裡賦值,要在前面定義reg型

endmodule

四、modelsim**:

06分頻計數器之LED閃爍1

一設計功能是 讓led燈每1秒亮一次,再滅一秒,閃爍時間週期為2秒。二分頻的介紹 一 設計方式 ip核和自己動手寫。二 對於分頻的構成 乙個是計數器,還有時鐘翻轉。方法一是計滿整個週期,一半週期為高,一半為低。第二種是,計滿半個時鐘週期,就反轉。三設計輸入 一 分頻計數器模組 功能是產生乙個1秒的計...

計數器 智慧型計數器簡介

計數器主要是應用於電子科技領域,計數器是一種運用得比較多的時序邏輯電路。計數器可以對數字進行運算,它是一種沒有辦法顯示計算結果的顯示器。隨著電子科技的不斷發展,現在的計數器也實現了智慧型功能。智慧型計數器的出現幫助我們實現了很多高強度生產問題 複雜的技術問題,並且節約了生產成本和人力成本。智慧型計數...

計數器設計

最近看到一篇文章說要實現乙個計數器的功能,於是通過思索,設計了乙個靈活可用性高的物件計數器。裡面閃爍著 很多的火花。template class object counter object counter private static int m count template int object ...