DV 隨機驗證(CRV)思想

2021-10-04 08:11:18 字數 927 閱讀 4832

前言:

一、基本思想(方法)

所有input都要做randomize和cover,所有output都要做check。

所有randomize都要做constraint。

二、基本握手訊號(req-ack)的check思路及維度

回ack之前req要keep high,data要stable。

回ack之後req才會解除。

看到req之後delay多少t會ack?需要做randomize

相鄰2個req之間的時間間隔?

如果req與ack位於不同的clock domain,需要check req和ack的keep時間。

property req_ack_chk_0();

@(posedge sys_clk) disable iff(!rst_n)

(ack === 1) |-> ($past(req, 1, 1));

endproperty

property req_ack_chk_1();

@(posedge sys_clk) disable iff(!rst_n)

(req === 1) |=> $stable(data);

endproperty

property req_ack_chk_2();

@(posedge sys_clk) disable iff(!rst_n)

$fell(req) |-> (ack === 1'b1);

endproperty

property req_ack_chk_3();

@(posedge sys_clk) disable iff(!rst_n)

$changed(data) |-> ((req & ack) || (req === 1'b0));

endproperty

隨機驗證碼

function window,document if object.prototype.tostring.call options object object else this options.numarr 0,1,2,3,4,5,6,7,8,9 split this options.lette...

驗證碼隨機生成

pip install captcha驗證碼隨機生成 python 版本 3.6 captcha 版本 0.3 from captcha.image import imagecaptcha import numpy as np import matplotlib.pyplot as plt from...

隨機生成驗證碼

coding utf 8 created on mon sep 16 01 21 02 2019 author administrator import random from captcha.image import imagecaptcha import numpy as np from pil...