實驗二 組合邏輯電路設計 實驗三 時序邏輯電路設計

2021-10-07 23:04:21 字數 2454 閱讀 7251

一、實驗目的

1.加深理解組合邏輯電路的工作原理。

2.掌握組合邏輯電路的設計方法。

3.掌握組合邏輯電路的功能測試方法。

二、實驗環境

1、pc機

2、multisim軟體工具

三、實驗任務及要求

1、設計要求:

用兩片加法器晶元74283配合適當的閘電路完成兩個bcd8421碼的加法運算。(輸入兩個以bcd8421碼表示的十進位制數,輸出也是以bcd8421碼表示的和,並用數碼管顯示出來。)

2、實驗內容:

按要求完成上述電路的功能。

驗證其功能是否正確。

四、實驗設計說明(簡述所用器件的邏輯功能,詳細說明電路的設計思路和過程)

1.所用器件及其邏輯功能

74283是乙個超前進製的4位全加器,可以搭配邏輯門組4位二進位制加減法器。

由上述真值表可以得到sum_i和ci的邏輯表示式如下:

sum_i= ai+bi+ci-1 ci= aibi+(ai+bi)ci-1

2. 電路的設計思路和過程

(1)分別用兩個四位二進位制數表示兩個十進位制數,如用a3a2a1a0表示被加數,用b3b2b1b0表示加數,用s3s2s1s0表示「和」,用c表示進製。

(2)由於bcd8421碼僅代表進製的0—9,所以加法修正規則當>9時,修正值為當s>9時,修正值為d3d2d1d0=0110(加6進行修正),而這又細分為三種情況,sum_4∩sum_4=1,sum_4∩sum_3=1,和進製;當s<9時,修正值為d3d2d1d0=0000。

(3)由真值表得:d3=d0=0,d2=d1=c4 + s4s3 + s4s3

五、實驗電路(畫出完整的邏輯電路圖和器件接線圖)

一、實驗目的

1.加深理解時序邏輯電路的工作原理。

2.掌握時序邏輯電路的設計方法。

3.掌握時序邏輯電路的功能測試方法。

二、實驗環境

1、pc機

2、multisim軟體工具

三、實驗任務及要求

1、設計要求:

要求設計乙個計數器完成1→3→5→7→9→0→2→4→6→8→1→… 的迴圈計數(設初值為1),並用乙個數碼管顯示計數值(時鐘脈衝頻率為約1hz)。

2、實驗內容:

(1) 按要求完成上述電路的功能。

(2) 驗證其功能是否正確。

四、實驗設計說明(簡述所用器件的邏輯功能,詳細說明電路的設計思路和過程)

1.所用器件和邏輯功能

74160計數器:同步十進位制計數器

co進製輸出端

ep et

工作狀態控制端

clr非同步清零端(低電平有效)

load

同步預置數控制端(低電平有效)

qa-qd

輸出端clk

時鐘輸入端

2.電路的設計思路和過程

(1)本實驗選用乙個74160十進位制計數器進行計數、控制顯示,數字顯示器進行數字迴圈顯示。

(2)電路設計思路及過程:利用輸入關係和輸出關係,獲得如下真值表:

利用卡諾圖化簡法獲得真值表示式:

並根據真值表示式,連線電路圖,即可完成要求。

五、實驗電路(畫出完整的邏輯電路圖和器件接線圖)

六、總結除錯過程所遇到的問題及解決方法,實驗體會

1、設計過程中遇到過哪些問題?是如何解決的?

一開始是想使用老師說所的觸發器和門電路設計電路圖的,但是在完成的過程中,因為對於觸發器並不是十分的熟悉,在完成電路的連線之後,始終無法獲得正確的答案,無奈之下選擇另闢蹊徑,直接選擇輸入和輸出的對應關係的真值表示式來連線電路,並且最終完成。

2、通過此次時序邏輯電路實驗,你對時序邏輯電路的設計是否有更清楚的認識?若沒有,請分析原因;若有,請說明在哪些方面更加清楚。

有,本次實驗嘗試了兩種方法完成。第一種是利用了d觸發器,第二種是利用計時器完成。通過這次實驗,我對時序電路涉及到的器件更熟悉,對中規模積體電路晶元的認識更深,也掌握了時序邏輯電路的設計方法和任意進製計數器的構成方法。

如有不足之處,還望指正1。

如果對您有幫助可以點讚、收藏、關注,將會是我最大的動力 ↩︎

數電二 組合邏輯電路

什麼組合邏輯電路?它就是有一些邏輯閘電路搭建,為實現某種功能而組合的電路。特點 在任意時刻的輸出僅僅取決於該時刻的輸入,與電路原來的狀態無關。一 根據電路圖分析邏輯功能 分析下面邏輯電路圖實現的功能 根據圖分析組合邏輯的方法 第一步 組合邏輯電路圖 第二步 邏輯表示式 第二步 邏輯表示式 第四步 真...

數電 (五)組合邏輯電路

把二進位製碼按一定的規律編排 如8421碼 格雷碼等 使每組 具有一特定的含義 代表某個數或控制訊號 稱編碼。實現編碼操作的電路稱為編碼器。普通編碼器 任何時候只允許輸入乙個有效編碼訊號,否則輸出就會發生混亂。優先編碼器 允許同時輸入兩個以上的有效編碼訊號。當同時輸入幾個有效編碼訊號時,優先編碼器能...

組合邏輯電路的分析和設計

3.組合邏輯電路中的競爭冒險 組合邏輯電路 根據邏輯電路列出真值表 根據真值表畫卡諾圖,得到邏輯表示式 根據真值表確定電路功能 明確邏輯功能,說明輸入輸出變數及表示符號 列出真值表 由真值表寫出邏輯表示式 化簡邏輯表示式,根據要求畫出邏輯圖。與非門相對於與門或者或門所需電晶體少,速度快。或非門相對於...