禾賽科技2021數字IC筆試題

2021-10-12 04:52:22 字數 521 閱讀 9650

筆試題a

1道單選,6道問答

單選1:八進位制數657的16進製表示是什麼? (1af)

問答:使用1個或非門搭建非門,有幾種方法?

使用二輸入mux搭建與非門

化簡邏輯表示式out=a+b((ab+b)+ab)

檢測到序列1101時,輸出1,其他情況輸出0,畫出有限狀態機轉移圖(melay狀態機)

建立時間違例和保持時間違例會引起什麼情況?

用verilog描述8位d觸發器

筆試題b

單選1.數字設計流程

問答:乙個nand搭建not

乙個mux搭建not

一樣一樣

建立時間是什麼?保持時間是什麼?

2分頻時鐘

筆試題c

單選題1:

-539的補碼(16進製表示)

大題:或非門搭非門的方法

2輸入多路選擇器搭與門

邏輯化簡

1101序列檢測狀態圖(公尺爾型狀態機)

verilog**寫八位d觸發器

數字IC筆試題 全志科技數字前端

b 施密特觸發 c 方波 列出所有情況a 3 0 4 b0000 4 b1111即可 rst n為非同步復位,然後在每個clk上公升沿進行觸發器狀態更新 時鐘路徑 0.8ns 資料路徑 1 1.2 2.2 4.4ns 時鐘週期t 4ns 建立檢查 資料要求最晚到達時間為 0.8 4 tsu 4.2n...

數字IC筆試題(6)

題 使用2 1mux設計inv and or nand nor。即取反,與,或,與非,非或 mux是能夠根據需要將其中任意一路選出來的電路,又稱資料選擇器。設計inv 使用mxu設計inv,需要將s1端接 0 將s0端接 1 設計and 使用mxu設計and,需要將s1端接a,將s0端接 0 設計o...

數字ic筆試面試 題目彙總

1 fpga資源 問你用的哪些fpga,你用的那款fpga的dsp片數,佔了多少資源,響應時間多少 2 專案相關 讓你畫專案的結構框圖,系統框架 3 濾波器設計 4 fpga裡面的slice,為什麼要用ram,資源優化,時序約束,建立時間,chipscope除錯時,取樣率的設定。5 axi協議,問u...