學習筆記 流水燈例項(FPGA)

2021-10-16 10:04:08 字數 1279 閱讀 6668

//外部輸入 25mhz 時鐘訊號

input ext_rst_n,

//外部輸入復位訊號,低電平有效

output reg[7:

0] led //8 個 led 指示燈介面);

//-------------------------------------

reg[19:

0] cnt;

//20 位計數器

//cnt 計數器進行迴圈計數

always @ (posedge ext_clk_25m or negedge ext_rst_n)if(

!ext_rst_n) cnt <=

20'd0;

else cnt <= cnt+

1'b1;

//-------------------------------------

//計數器 cnt 計數到最大值時,切換點亮的指示燈

always @ (posedge ext_clk_25m or negedge ext_rst_n)if(

!ext_rst_n) led <=

8'b1111_1110;

//預設只點亮乙個指示燈 d2

else

if(cnt ==

20'hfffff) led <=

;//迴圈移位操作

else

;endmodule

//對外部輸入時鐘做二分頻

module 1

(input ext_clk_25m,

//外部輸入 25mhz 時鐘訊號

input ext_rst_n,

//外部輸入復位訊號,低電平有效

output reg clk_12m5 //二分頻時鐘訊號);

always @(posedge ext_clk_25m or negedge ext_rst_n)if(

!ext_rst_n) clk_12m5 <=

1'b0;

else clk_12m5 <=

~clk_12m5;

end one;

FPGA學習記錄 按鍵控制流水燈實驗

今天繼續昨天的流水燈實驗,不過今天嘗試加入按鍵來進行控制,通過按鍵來控制led的顯示效果。此次實驗中我將使用芯路恆ac620開發板進行實驗,通過開發板上的兩個按鍵來控制4個led燈。不同按鍵按下時,led燈顯示不同的效果。圖 2.1 按鍵電路原理圖 如圖所示,當按鍵未按下時,輸出高電平,按鍵按下時,...

FPGA之花樣流水燈控制模組

例子 8個燈中,硬體控制其中1個led燈以0.5秒的速度正向點亮一次,然後逆向點亮1次,並不斷迴圈。主 系統時鐘為50mhz 分析 首先,訊號 8個燈 系統時鐘clk 需要時鐘0.5秒 實現 系統時鐘分頻0.5秒模組。控制燈亮乙個。module div 25m clk 50m,clk 2hz inp...

微控制器學習筆記 點亮流水燈

我們前面學習了如何建立工程,現在就來實現乙個流水燈吧。一 八位發光二極體 led燈原理圖 下圖為led燈的原理圖 led燈陽極 p0 7 0 led燈陰極 共陰極,p2.3非 點亮led燈的條件 通電 p0 0xff p2.3 1 模式 推挽輸出 在實驗板中,點亮led燈除了需要通電,還需要設定i ...