24進製計數器的設計

2021-10-17 14:43:34 字數 655 閱讀 1549

本關任務:利用兩個在第3關設計的十進位制計數器,設計乙個24進製計數器,要求具有同步置數、非同步清零功能。

計數是一種最簡單的基本運算。計數器就是實現這種運算的邏輯電路,計數器在數字系統中主要是對脈衝的個數進行計數,以實現測量、計數和控制的功能,同時兼有分頻功能。計數器在數字系統中應用廣泛,如在電子計算機的控制器中對指令位址進行計數,以便順序取出下一條指令,等等。

訊號輸入/輸出

位寬說明

clock

輸入1 位

時鐘脈衝,上公升沿有效

reset

輸入1 位

非同步清零端:reset=1時,q=0

enable

輸入1 位

使能端:reset=0時,enable=1遞增計數、0保持

load

輸入1 位

同步置數端:reset=0、load=1、clock上公升沿時,q=d

d1,d0

輸入4 位

並行資料載入端

q1,q0

輸出4 位

狀態輸出端:分別表示十位和個位

co輸出

1 位進製輸出端:狀態q1q0=23時,co=1

設計20進製計數器

要求 1。用161計數器晶元,設計乙個m 20的計數器,可以用多片 上電後,對clk訊號,從0順序計數到19,然後迴繞到0 2.當計數值為19的clk週期,溢位訊號ov輸出乙個高電平,其他週期ov訊號輸出0 3.用波形 觀察電路結果 步驟 1.建立工程 20 step counter 並建立bwf空...

計數器設計

最近看到一篇文章說要實現乙個計數器的功能,於是通過思索,設計了乙個靈活可用性高的物件計數器。裡面閃爍著 很多的火花。template class object counter object counter private static int m count template int object ...

計數器設計實驗

module cnt10 clk,rst,en,load,cout,dout,data input clk,en,rst,load input 3 0 data output 3 0 dout output cout reg 3 0 q1 reg cout assign dout q1 always...