指令碼快速建立vivado工程

2021-10-25 02:10:07 字數 2211 閱讀 2211

意識到程式的版本管理,不能像以前一樣亂糟糟了,就慢慢堅持使用git進行**管理。搭配指令碼快速建立統一的工程目錄,用起來真是方便。

第一步,解壓到當前資料夾;

第二步,雙擊auto_pro.bat指令碼;

雙擊指令碼之後,就會有命令列顯示,稍等片刻vivado就會開啟新的工程:

建立工程總目錄以及內容如下:

├─doc

│ design_log.md

│├─ip

├─mcs

├─sim

├─src

│ ├─cons

│ ├─rtl

│ └─sim

├─tcl

└─work

│ auto_prj.bat

│ auto_prj.tcl

│ project_1.xpr

│ vivado.jou

│ vivado.log

│ vivado_pid29340.str

│├─.xil

│ └─vivado-29340-desktop-52ienjh

├─project_1.hw

│ project_1.lpr

│├─project_1.ip_user_files

└─project_1.sim

以壓縮檔案的方式開啟,可以清晰的看到各級的內容:

每個資料夾內容的描述見下圖:

一般情況下,在git工程中,mcs資料夾以機work資料夾都會加入gitignore文字中,避免對其進行不必要的更新;

對執行的指令碼內容,進行解釋;

auto_prj.bat檔案內容如下:

# start "c:\windows\system32\cmd.exe"

@echo power by kingstacker && jack.

@echo produce the vivado project.

mkdir

..\doc ..\ip ..\mcs ..\src ..\src\cons ..\src\rtl ..\src\sim ..\sim ..\tcl

echo

# design_log > ..\doc\design_log.md

mkdir project_1

cd project_1

set cache_floder= project_1.cache

if exist %cache_floder% (

echo the floder is exist.

pause

)else

( vivado -source ../auto_prj.tcl

)

建立資料夾,如果已經存在會返回提示,可以不用管。

atuo_prj.tcl檔案內容如下:

#設定器件型號

set device_model xc7k325tffg900-2

cd ../../work/project_1

#設定工程建立位置

set dev_dir [pwd]

#確保切換到了工程位置

cd $dev_dir

puts "the home_dir is $dev_dir now!"

#建立工程

create_project project_1 $dev_dir -part $device_model

#set_property board_part milianke:dev_zynq:part0:1.2 [current_project]

set_property simulator_language verilog [current_project]

這個時候系統會開啟vivado的gui介面,大功告成。

AD9361 VIVADO工程搭建

export path path cygdrive c xilinx vivado 2015.2 bin設定vivado安裝路徑,設定完成後,執行 which vivado如果正常,會顯示vivado路徑 4.如果你用的vivado版本是2015.2,那麼需要開啟hdl hdl 2015 r2 pr...

Vivado清理和壓縮工程的方法

前言 vivado和ise的使用差別很大,vivado是專門針對7系列和以後系列的fpga ap soc進行高效設計的工具,特別是最近提出的ultrafast設計方法,能夠極大地提高開發效率。ise在支援老版本器件的基礎上,目前也支援7系列 zynq的設計,但是效率不能和vivado相比。關於viv...

Linux環境下工程快速啟動指令碼

jar包。在同目錄下建立 start.s 件,內容如下 bin bash 根目錄 jar 包名稱 只需要修改上面兩項 埠號 if pid 0 then echo 專案已經啟動了,開始關閉專案,專案pid為 pid kill 9 pid rm f nohup.out sleep 3 chmod 777...