2023 將十進位制數轉化為二進位制數

2022-05-22 03:06:16 字數 704 閱讀 8881

time limit: 3 second

memory limit: 2 mb

輸入乙個不大於32767的正整數n,將它轉換成乙個二進位制數並輸出。

例如:

輸入:100

輸出:1100100

為方便判斷當正整數n大於32767時的情況,請將n設定為長整型。

如果n的值不在規定的範圍,將輸出「error」。

第一行只輸入乙個數字,表示要計算的n的值(1<=n<=32767),回車結束。

輸出轉化後的二進位制數,回車結束。

100
1100100
【題解】

按照上面這張圖去做就可以,實現很簡單。最後要倒序輸出。注意這點就可以了。

【**】

#include #include const int maxl = 200;

int n,a[maxl];

void input_data()

}void get_ans() //不斷獲取餘數,然後一邊除2.最後要倒序輸出。

for (int i = temp; i >= 1;i--)

printf("%d",a[i]);

}int main()

十進位制轉化為二進位制

今天我們來實現乙個有趣的小案例。要求 任意輸入乙個正十進位制數把它轉化為二進位制數輸出。我這裡使用了遞迴演算法 def transit num,binary 十進位制轉化為二進位制 param num 輸入的10進製 return none if num 2 remainder num 2 num ...

13 3十進位制轉化為二進位制

05.檔名稱 07.完成日期 2013年 11月 08.版本號 v1.0 09.對任務及求解方法的描述部分 遞迴 10.輸入描述 十進位制 11.問題描述 遞迴法做二進位制轉換 12.程式輸出 二進位制 13.問題分析 像張玉老師說的像剝洋蔥先找到芯,在寫出第n 1或n 1與n 2與第n項的關係就很...

FPGA 十進位制 轉化為二進位制

請原諒 還沒有寫完,後面一定補上 module operation input clk input rst n,output reg 3 0 num add,output reg 3 0 num sub,output reg 6 0 num mul,output reg 3 0 num div,ou...