verilog描述鎖存器和觸發器

2021-05-23 04:38:05 字數 939 閱讀 4293

1。門口d鎖存器**:

module d_latch(clk,d,q);

input clk,d;

output reg  q;

always @(clk,d)   //注:這裡的敏感訊號為clk和d,因為d也引起q的變化。當clk為高點評時,d的變化也引起輸出q的變化。

if(clk==1)

q=d;

endmodule

2.d觸發器**:

module  d_flipflop(clk,d,q);  //觸發器的英文為flipflop.

input clk,d;

output reg q;

always @(posedge clk) //注:這裡的敏感訊號為clk,沒有d..

q=d;

endmodule

3.理解阻塞賦值和非阻塞賦值。

描述組合邏輯電路最好用阻塞賦值語句。

描述時序邏輯電路做好用非阻塞賦值語句。

4。含非同步復位端的d觸發器:

module d_flipflop(d,clk,reset,q);

input d,clk,reset;

output reg q;

always @(negedge reset,posedge clk) 

要注意了*/

if(!reset)

q<=0;

else

q<=d;

endmodule 

5.含同步復位端的d觸發器:

module d_flipflop(d,clk,reset,q);

input d,clk,reset;

output  q;

always @(posedge)

if(!reset)

q<=0;

else

q<=d;

endmodule

D觸發器Verilog描述

今日偶然看到一些知名企業的筆試試題,隨便掃瞄了下,看到有幾道關於fpga cpld的題目,小小的程式設計題,用veriloghdl或vhdl語言編觸發器,腦袋轉了一下,模糊似乎清晰,清晰又像浸入模糊,呵呵。上半年,由於boss專案,學習了fpga,學的有點急,也斷斷續續的,才過幾個月,似乎知識已經遠...

鎖存器和觸發器區別

鎖存器 latch 是一種對脈衝電平敏感的儲存單元電路,它們可以在特定輸入脈衝電平作用下改變狀態。鎖存,就是把訊號暫存以維持某種電平狀態。鎖存器的最主要作用是快取,其次完成高速的控制器與慢速的外設的不同步問題,再其次是解決驅動的問題,最後是解決乙個i o口既能輸出也能輸入的問題。鎖存器是利用電平控制...

Verilog設計中的鎖存器

問題 什麼是鎖存器?什麼時候出現鎖存器?鎖存器對電路有什麼影響?如何在fpga設計中避免鎖存器?在fpga設計中應該避免鎖存器.實際上,鎖存器與d觸發器實現的邏輯功能基本相同,都有暫存資料的功能。但如果兩者都由與非門搭建的話,鎖存器耗用的邏輯資源要比d觸發器少 d觸發器需要12個mos管,鎖存器只需...