格雷碼二進位制轉換

2021-06-21 20:06:28 字數 458 閱讀 3639

在結構光編碼方法中,有一種格雷碼編碼方法;與二進位制編碼方法相比,格雷碼編碼方法能夠更好的乙隻雜訊干擾,方便糾錯。用格雷碼對三維測量空間進行編碼,在解碼時需要將格雷碼在此轉換成二進位制,方便計算。

實現**如下:

unsigned binarytogray(unsigned num)

將二進位制值右移一位,與原值取異或,即可得到格雷碼值。

從左邊第二位起,將每位與左邊一位解碼後的值異或,作為該位解碼後的值(最左邊一位依然不變)。依次異或,直到最低位。依次異或轉換後的值(二進位制數)就是格雷碼轉換後二進位製碼的值。

實現**如下:

unsigned graytobinary(unsigned graycode,unsigned numbits)

return graycode;

}

格雷碼與二進位製碼的轉換

gray code是1880年由法國工程師jean maurice emlle baudot發明的一種編碼,是一種絕對編碼方式,典型格雷碼是一種具有反射特性和迴圈特性的單步自補碼,它的迴圈 單步特性消除了隨機取數時出現重大誤差的可能,它的反射 自補特性使得求反非常方便。格雷碼屬於可靠性編碼,是一種錯...

Gray碼 格雷碼 二進位制

以下內容是看了matrix67的關於二進位制的blog link 的一點總結與摘錄。gray碼,中文 格雷碼 是一種特殊的編碼,相鄰兩個格雷碼的二進位制表示中有且僅有一位不同,且 n 階 gray 碼是 0 2 n 1 的乙個排列。n 階 gray 碼可以由 n 1 階 gray 碼映象翻轉之後最前...

格雷碼與二進位制的轉換

格雷碼,又叫迴圈二進位製碼或反射二進位製碼,格雷碼是我們在工程中常會遇到的一種編碼方式,它的基本的特點就是任意兩個相鄰的 只有一位二進位制數不同,這點在下面會詳細講解到。格雷碼的基本特點就是任意兩個相鄰的 只有一位二進位制數不同,這點很重要。常用的二進位制數與格雷碼間的轉換關係如下表 1 二進位製碼...