二進位制中1的個數

2021-07-11 19:45:51 字數 980 閱讀 7079

//輸入乙個整數,輸出該二進位制表示中1的個數。

#include "limits"

#include "iostream"

using namespace std;

int bincountone0(int value)

#include "bitset"

int bincountone1(int value)

//不行,碰到負數會死迴圈

//int bincountone2(int value)

//// return count;

//}int bincountone2(int value)

return count;

}int bincountone3(int value)

return count;

}#include "chrono"

#include "fstream"

#define n 500000

void test()

int main()

執行結果:

bincountone0: 57ms

bincountone0: 49ms

bincountone0: 50ms

bincountone1: 139ms

bincountone1: 125ms

bincountone1: 111ms

bincountone2: 54ms

bincountone2: 53ms

bincountone2: 51ms

bincountone3: 16ms

bincountone3: 16ms

bincountone3: 16ms

實驗標明:value&(value-1)是最快的,bitset最慢,可能是構造轉換需要時間。(內部的,看不見的**在執行)。count()也有內部**。

二進位制 二進位制中1的個數

題目 請實現乙個函式,輸入乙個整數,輸出該數二進位制表示中 1 的個數。例如,把 9 表示成二進位制是 1001,有 2 位是 1。因此,如果輸入 9,則該函式輸出 2。示例 1 輸入 00000000000000000000000000001011 輸出 3 解釋 輸入的二進位制串 0000000...

二進位制中1的個數 二進位制中0的個數

1 題目 實現乙個函式,輸入乙個整數,輸出該數二進位制表示中1的個數,例如把9表示成二進位制是1001,有2位是1。因此如果輸入9,該函式輸出2。2 解法 解法 一 可能會引起死迴圈的解法 基本思路 先判斷整數二進位制表示中最右邊一位是不是1。接著把輸入的整數右移一位,此時原理處於從右邊數起的第二位...

二進位制中1的個數

這種方法速度比較快,其運算次數與輸入n的大小無關,只與n中1的個數有關。如果n的二進位制表示中有k個1,那麼這個方法只需要迴圈k次即可。其原理是不斷清除n的二進位制表示中最右邊的1或者最左邊的1,同時累加計數器,直至n為0 如7 0111 通過與 7 1 0110 與操作消去最最左邊的1,並累加計數...