按鍵消抖電路原理

2021-07-23 15:30:47 字數 529 閱讀 2038

按鍵消抖電路原理(fpga開發中按鍵消抖與單脈衝發生器電路)

為了使按鍵消抖電路模組簡潔,移植性好,在此用計數器的方式實現按鍵消抖的功能。

計數器模值n根據抖動訊號的脈衝寬度和取樣脈衝訊號clk的週期大小決定。計數模值n=延時/脈衝訊號取樣週期。一般按鍵抖動時間為5~10 ms,甚至更長。筆者用的開發板提供的系統時鐘為24 mhz,按公式計算,當計數器模值取20位,計數到219即h8 0000時,大約延時22 ms。計數期間認為是按鍵的抖動訊號,不做取樣;計數器停止計數,認為取樣訊號為穩定按鍵訊號。這樣就可以把按鍵時間小於22 ms的抖動訊號濾掉。

引入乙個取樣脈衝訊號clk,並輸入按鍵訊號key。key輸入低電平,計數器開始做加法計數,當計數到h8 0000即計數器中最高位q19為1,計數器停止計數,輸出q19,作為按鍵的穩定輸出,計數期間q19輸出為0;key輸入高電平,計數器清零,q19輸出為0。所以該電路需按鍵22 ms才會得到有效訊號。

按鍵消抖電路設計

用verilog實現按鍵抖動消除電路,抖動小於15ms,輸入時鐘 12mhz 設計思路 使用計數器,計算按鍵時間,如果大於15ms,則認為是有效訊號,若小於15ms,則認為是無效訊號 12mhz 1 clk 83ns 15ms 83ns 180722 0x2c1f2 module rebounce ...

FPGA Verilog按鍵消抖

硬體 鋯石a4開發板,altera ep4ce10f17c8 語言 verilog hdl 原理 機械式按鍵大概有20ms的抖動,所以檢測到按鍵變化後等20ms再判斷一次,如果鍵值相同才視為有效 頂級模組按鍵切換led顯示 module key led,key,clock,reset input c...

FPGA 按鍵消抖

今天簡單的說說按鍵消抖,原理特別好理解,其實就是延時,做一定時間的延時後取值一次,就能夠得到特定的消抖後的狀態了。為什麼要消抖?見圖 我們可以看到,但按鍵按下的那一刻,存在一段時間的抖動,同時在釋放按鍵的一段時間裡也是存在抖動的,這就可能導致狀態在識別的時候可能檢測為多次的按鍵,因為執行過程中普通的...