FPGA未使用管腳配置(Quartus)

2021-07-26 14:37:37 字數 665 閱讀 5509

實驗:使用fpga開發板(altera)進行led燈實驗

目標:實現乙個與門,兩個按鍵任意乙個按鍵按下,led燈亮

現象:開發板上未配置的led微亮

原因:發現其他未使用的管腳沒有配置,預設配置為了弱上拉

解決方案:

將未使用管腳設定為三態輸入

assignments  -> device 或雙擊器件 -> device and pin options

unsed pins 選為as input tri-stated

配置以後其他未使用的led就不亮啦

貼上實驗用原始碼,其中a和b配置為按鍵

library ieee;    

use ieee.std_logic_1164.all;

entity led is

port(a, b : in std_logic;

y: out std_logic);

end led;

architecture one of led is

begin

y<= a and b;

end one;

fpga配置時的管腳狀態

fpga配置時的管腳狀態 關於這個問題,好像網路上面有很多人問,但是eetop這個話題不多。大多數的回答是 配置的時候所有的管腳預設是z態。這個說法到底對不對呢?下面我談談自己使用的幾款新品的情況。專案背景 開關訊號發射機。初始狀態要求io訊號都是低電平,來自控制dsp的發射控制訊號觸發io開關訊號...

FPGA之特殊管腳

1.i o,asdo 在as 模式下是專用輸出腳,在ps 和jtag 模式下可以當i o 腳來用。在as 模式下,這個腳是cii 向序列配置晶元傳送控制訊號的腳。也是用來從配置晶元中讀配置資料的腳。在as 模式下,asdo 有乙個內部的上拉電阻,一直有效,配置完成後,該腳就變成三態輸入腳。asdo ...

FPGA硬體管腳設定介紹

1 1.i o,asdo 在as 模式下是專用輸出腳,在ps 和jtag 模式下可以當i o 腳來用。在as 模式下,這個腳是cii 向序列配置晶元傳送控制訊號的腳。也是用來從配置晶元中讀配置資料的腳。在as 模式下,asdo 有乙個內部的上拉電阻,一直有效,配置完成後,該腳就變成三態輸入腳。asd...