Verilog 模組例化

2021-08-28 09:35:01 字數 786 閱讀 8487

模組的概念

模組(module)是verilog最基本的概念,是v設計中的基本單元,每個v設計的系統中都由若干module組成。

2、模組的實際意義是代表硬體電路上的邏輯實體。

3、每個模組都實現特定的功能。

4、模組的描述方式有行為建模和結構建模之分。

5、模組之間是並行執行的。

6、模組是分層的,高層模組通過呼叫、連線低層模組的例項來實現複雜的功能。

7、各模組連線完成整個系統需要乙個頂層模組(top-module)。

無論多麼複雜的系統,總能劃分成多個小的功能模組。系統的設計可以按照下面三個步驟進行:

(1)把系統劃分成模組;

(2)規劃各模組的介面;

(3)對模組程式設計並連線各模組完成系統設計。

verilog例化:

port_expr //位置關聯

.portname (port_expr) //名稱關聯

定義模組:module design(埠1,埠2,埠3……);

1)引用時,嚴格按照模組定義的埠順序來連線,不用標明原模組定義時規定的埠名。 design u_1(u_1的埠1,u_1的埠 2,u_1的埠3,u_1的埠……);//和design對應

2)引用時用」.」符號,標明原模組定義時規定的埠名:

design u_2(

.(埠1(u_1的埠1),

.(埠2(u_1的埠2),

.(埠3(u_1的埠3),

//建議:在例化的埠對映中採用名字關聯,這樣,當被呼叫的模組管腳改變時不易出錯。

Verilog 模組和埠

模組定義以關鍵字module開始,模組名 埠列表 埠宣告和可選的引數宣告必須出現在其他部分的前面,endmodule語句必須為模組的最後一條語句。模組內部的5個組成部分是 變數宣告 資料流語句 低層模組例項 行為語句塊以及任何和函式。在模組的組成部分中,只有module 模組名 endmodule必...

Verilog編寫通用模組

移位暫存器,alu,多路選擇器,二進位制乘法器,模n計數器,詹森計數器,引數化比較器,詹森解碼器 移位暫存器 module unishiftregister clk,reset,leftin,rightin,sel,loaddta,q parameter nbits 4 input clk,rese...

verilog引數例化

verilog引數例化 1 引數定義parameter module ram 1r1w parameter width 128,parameter deepth 32 input wclk,input width 1 0 wdin,input rclk,output width 1 0 dout,e...