Ubuntu下VCS軟體安裝結果的乙個測試

2021-09-08 20:12:56 字數 1938 閱讀 4077

gcc版本需要降到4.8。

沒錯,我也試了試4.7版本的。

測試的目的是驗證vcs軟體能否正常工作,被測試檔案如下:

module testbench()

;reg clk;

reg rst_n;

reg data_in;

wire data_out;

initial begin

clk =1;

forever #5 clk =

~clk;

endinitial begin

rst_n =0;

#20 rst_n =1;

endinitial begin

data_in =0;

#40 data_in =1;

#100 data_in =0;

#10 data_in =1;

#20 data_in =0;

#10 data_in =1;

endinitial

#1000 $finish;

rising_edge rising_edge_u0(.

clk(clk),.

rst_n

(rst_n),.

data_in

(data_in),.

data_out

(data_out));

endmodule

module rising_edge

(input clk,

input rst_n,

input data_in,

output data_out

); reg data0;

reg data1;

wire rising_sign;

always@(posedge clk or negedge rst_n)begin

if(!rst_n)begin

data0 <=

1'b0;

data1 <=

1'b1;

end else begin

data0 <= data_in;

data1 <= data0;

endend

assign data_out =

!data1 & data0;

endmodule

在終端執行:

vcs -full64 -v -r testbench.v rising_edge.v -o simv -gui -debug_pp
提示有錯誤:

collect2: error: ld returned 1 exit status

makefile:104: recipe for target 'product_timestamp' failed

make: *** [product_timestamp] error 1

執行:

UBUNTU下軟體安裝

先簡單說介紹一下ubuntu。ubuntu的中文發音大約為 烏班圖。ubuntu這個單詞源自非洲,意謂 班圖精神 誰都不是一座孤島,自成一體。每個人都包孕於人類,因他人存在而存在,因他人幸福而幸福。下面介紹下在ubuntu下如何裝軟體。linux系統中,軟體通常以源 或者預編譯包的形式提供。1 軟體...

Ubuntu下軟體安裝

啊啊啊啊啊啊,目前總結到這些。一 軟體安裝 1.bundle檔案 舉例,vmware player bundle是可執行檔案,像windows系統下的.exe,在終端輸入sudo bash bundle執行 2.deb 基於debian系統的軟體包 常見 sudo dpkg i deb 或者先將更新...

ubuntu下軟體的安裝

pkglinux系統中,軟體通常以源 或者預編譯包的形式提供。軟體源 需要編譯為二進位制的機器 才能夠使用,安裝比較耗時,不過您可以自行調節編譯選項,決定需要的功能或元件,或者針對硬體平台作一些優化。預編譯的軟體包,通常是由軟體的發布者進行編譯,您只要將軟體拷貝到系統中就可以了。考慮到預編譯軟體包的...