5 Verilog HDL 行為級建模1

2021-10-04 20:04:27 字數 894 閱讀 4899

1、語句塊

序列語句塊:begin…end    延時執行

並行語句塊:fork…join       並行執行,只能用於**測試程式

2、過程賦值語句

(1)阻塞賦值語句:操作符號 「=」,語法格式變數=表示式

特點:執行有先後順序之分,主要體現在begin…end語句塊中。

(先計算表示式,再立即賦值)

(2)非阻塞賦值語句:操作符號 「<=」,語法格式變數<=表示式

特點:執行無先後順序之分,排列在前面的語句不會影響到後面的語句執行。

(先計算表示式,等延時結束再賦值)

只有在行為級描述中,序列語句塊中,使用阻塞賦值時才是序列結構,其餘全是並行結構。

3、過程連續賦值語句

賦值語句:assign

assign 《暫存器型變數》=《賦值表示式》

重新賦值語句:dessign

dessign 《暫存器型變數》

另外兩種賦值語句,強制(force)、釋放(release)優先順序比前兩個高,且其在測試、**、**量大的情況下作用比較大。

行為級 RTL級 門級

行為級 行為級是rtl級的上一層。最符合人類思維的描述方式。主要用於快速驗證演算法的正確性,不關注電路的具體結構,不一定可以綜合成實際電路結構。注重演算法。以直接賦值的形式進行,只關注結果。常採用大量運算,延遲等無法綜合的語句。其目的不在於綜合,而在於演算法。rtl級 使用暫存器這一級別的描述方式來...

verilog行為級描述與結構級描述

1 在使用verilog描述電路時,既可以進行行為級的描述,也可以進行結構級的描述。行為級描述 側重對模組行為功能的 抽象描述。結構級描述 側重對模組內部結構實現的 具體描述。2 行為級描述 描述體的組成 由多個 並行執行的 過程塊組成。過程塊由 過程語句 initial和always 和 塊語句 ...

ThinkPHP5 行為和鉤子 03

自定義鉤子 現在我們用乙個應用自定義鉤子的例子來總結下鉤子和行為的使用。首先定義乙個公共的控制器基類,然後新增乙個 controller init鉤子,如下 use think controller use think hook use think request class base extend...