(萌新的數電學習)用 VHDL語言設計乙個異或門

2021-10-11 20:11:50 字數 835 閱讀 9705

實驗背景:異或門是一種用途廣泛的閘電路。典型應用是作為加法器的單元電路。

vhdl**

第一種寫法:

library ieee;

--庫宣告

use ieee.std_logic_1164.all;

entity yihuomen is--實體

port

(a,b:in std_logic;

y:out std_logic)

;end yihuomen;

architecture one of yihuomen is--結構體

begin y<=

(a and

not b)or(

not a and b)

;end architecture one;

rtl檢視:

第二種寫法:

library ieee;

--庫宣告

use ieee.std_logic_1164.all;

entity yihuomen is--實體

port

(a,b:in std_logic;

y:out std_logic)

;end yihuomen;

architecture one of yihuomen is--結構體

begin y<=a xor b;

end architecture one;

(萌新的數電學習)用VHDL語言設計CPU

一 目的 完整 連貫地運用 數字邏輯 所學到的知識,熟練掌握 eda 工具基本使用方法,為學習好後續 計算機原理 課程做鋪墊。二 設計內容 一 按照給定的資料通路 資料格式和指令系統,使用 eda 工具設計一台用硬連線 邏輯控制的簡易計算機 二 要求靈活運用各方面知識,使得所設計的計算機具有較佳的效...

(萌新的數電學習)用VHDL語言設計通用暫存器組

實驗背景 暫存器是cpu內的重要組成部分,模型機的通用暫存器組包含3個8位暫存器a b c,實現對此3個暫存器的讀寫操作。其介面及功能如下 vhdl語言 library ieee use ieee.std logic 1164.all use ieee.std logic unsigned.all ...

乙個在求職路上掙扎的萌新

計畫這周辦完離校,下週開始去北京面試工作了,估計很少有時間能這樣靜下心來,沉澱自己的知識,寫寫部落格看看書了。大學是一所大專,不詳細說了,感謝大學帶了我入門,帶我走進了c asp.net的世界。看書學習的時候,總會有乙個想法,不知道有沒有人跟我一樣的。越看書,越學習,越感覺自己其實什麼都不會 當初能...