使用VIVADO 2019 1 搭建乙太網介面

2021-10-22 18:47:27 字數 2003 閱讀 4135

以fpga v7為例,搭建乙個千兆乙太網介面。執行環境為vivado2019.1。板卡的fpga v7與千兆網口晶元採用sgmii互聯,最終實現fpga與上位機之間的千兆網**信,實際實現時,v7採用mac + gmii ->sgmii的結構。sgmii介面使用1g/2.5g ethernet pcs/pma or sgmii ip核,mac層使用tri mode ethernet mac ip核。

由於mac層ip不支援sgmii介面,因此需要gmii->sgmii的ip,mac層ip配置如圖8.11所示,具體引數請參考ip的資料手冊。

圖8.11 mac層ip配置說明

圖8.12 mac層ip配置說明

phy inte***ce 選用internal介面,可以與sgmii ip核連線 mac speed 選用1000mbps,實現千兆網口的功能

management type 使用標準的axi4-lite介面,用於配置ip內部暫存器management data input/output inte***ce 勾選

圖 8.13 mac層ip配置說明

圖 8.14 mac層ip配置說明

features配置介面使用預設選項即可

圖8.15 gmii->sgmii ip配置說明

使用1g速率,sgmii核工作速率與mac核工作速率保持一致

圖8.16 gmii->sgmii ip配置說明

選擇sgmii,將會生成乙個sgmii->gmii橋路功能的ip核

圖8.17 gmii->sgmii ip配置說明

physical inte***ce選項可以選擇使用lvds介面或者fpga內部的gt介面,本例程使用了fpga內部的gt介面,因此選擇device specific transceiver

圖8.18 gmii->sgmii ip配置說明

圖8.18 gmii->sgmii ip配置說明

圖8.19 gmii->sgmii ip配置說明

選擇include shared logic in example project,方便後續使用example project搭建mac->gmii>sgmii的程式架構。ip核例化完成後,開啟mac核和sgmii核的example project,將兩個核的example project放置在乙個工程下,按照figure 3-59的方式連線兩個頂層模組,即可完成這個gmii->sgmii乙太網工程的構建

圖8.14 bug修改說明

除錯結果,鏈路建立資料收發截圖

圖8.20 v7千兆網口抓包除錯結果,乙太網tx資料

圖8.21 v7千兆網口抓包除錯結果,乙太網rx資料

AD9361 VIVADO工程搭建

export path path cygdrive c xilinx vivado 2015.2 bin設定vivado安裝路徑,設定完成後,執行 which vivado如果正常,會顯示vivado路徑 4.如果你用的vivado版本是2015.2,那麼需要開啟hdl hdl 2015 r2 pr...

ubuntu下Vivado環境搭建和除錯

由於需要針對xilinx zynq系列進行軟硬體協同設計。這裡索性直接將vivado安裝在ubuntu系統中 而不是安裝在虛擬機器上的ubuntu系統 安裝vivado 可以參照部落格 採用串列埠進行除錯時,這裡採用介面化的cutecom 安裝過程如下 sudo apt get install cu...

Ubuntu安裝和使用Vivado

目錄 一 安裝ncurses庫 二 安裝 ivado 三 安裝驅動 四 重啟電腦 五 啟動vivado 六 常用tlc命令 sudo apt install libncurses5sudo xsetup在安裝目錄 tools xilinx vivado 2018.3 data xicom cable...