非同步fifo設計(2)

2022-07-17 11:18:08 字數 378 閱讀 1130

詳見

首先看一下非同步fifo設計的整體框圖:

在該設計中會有6個小模組:

1、fifo.v這個模組是頂層模組;

2、fifomem.v:這個模組是fifo的記憶體緩衝器,該記憶體屬於雙端ram

3、sync_r2w.v:這個模組是將讀指標rd_ptr同步化到寫的時鐘域;

4、sync_w2r.v:這個模組是將寫指標wr_ptr同步化到讀的時鐘域;

5、rd_ptr_empty:這個模組是判斷fifo是否處於空狀態;

6、wr_ptr_full:這個模組主要是判斷fifo是否處於滿狀態;

非同步fifo 同步FIFO設計實現

在學習跨時鐘域處理的時候,有一種方法是用非同步fifo來處理跨時鐘域處理的。那麼在這之前先看看同步fifo實現。所謂同步fifo,就是讀寫時鐘是同乙個時鐘頻率。本次實現是通過計數器的形式來實現滿空標誌。具體實現如下 module fifo sync input clk input rst n inp...

非同步FIFO的設計方法

對於非同步fifo而言,資料是由某乙個時鐘域的控制訊號寫入fifo,而由另乙個時鐘域的控制訊號將資料讀出fifo。也就是說,讀寫指標的變化動作是由不同的時鐘產生的。因此,對fifo空或滿的判斷是跨時鐘域的。如何根據非同步的指標訊號對fifo的滿狀態或空狀態進行正確的判斷是本文研究的重點。此外,設計過...

非同步fifo的設計 FPGA

fifo的本質是ram,先進先出 重要引數 fifo深度 簡單來說就是需要存多少個資料 fifo位寬 每個資料的位寬 fifo有同步和非同步兩種,同步即讀寫時鐘相同,非同步即讀寫時鐘不相同 同步fifo用的少,可以作為資料快取 非同步fifo可以解決跨時鐘域的問題,在應用時需根據實際情況考慮好fif...