FPGA學習記錄一

2021-08-02 17:22:55 字數 2436 閱讀 2850

fpga學習記錄

20170608 周四

早上洗好了衣服。中午下了大雨,難得遇一次。下午來,除錯放大板子,折騰半天,問題還是沒有解決,有點心累。飛了幾根線,但還是不行,實在沒信心去做了。所以還是來學習fpga吧,這個不那麼讓人傷心。

串列埠uart的測試。需要建立多個測試文字程式,後面又去弄板子測試了,還沒做完,後面接著做。到這裡寫程式的時候,遇到一些難度,有些寫發還弄不懂,有點頭痛,但還是得繼續下去。

20170609 周五

20170611 週日

昨天早上洗了衣服,下午和晚上來到實驗室測試採集放大板子,安裝了ni採集軟體,labview2016,最後採集的結果來看滿足要求,還可以。做測試沒有太大難度,但是很耗費時間,特別是秋哥(板子,專案負責人)不在的話,我們自己琢磨,一點效果都沒與,浪費大量時間。這是學校實驗室的通病,自由的代價。只能去適應了。所以昨天感覺很忙,但卻沒多少收穫。

對於實驗室相處,我知道自己缺乏激情,做什麼都沒勁,給人不是很好的狀態。但是我很難有激情啊,實驗室的事太雜亂,疑惑讓做這個,一會讓做那個,這樣要不了多久,心就亂了。我知道自己的處世態度就不積極,不自信,但這還是要慢慢改變吧,需要一些成就,成長。 

下午,老師在改學生**,沒有弄板子,我也不想弄,所以我還是學習fpga吧。為難自己來實驗室,卻沒做「正事」,我知道自己心態有問題,但我這人就這樣了,貪玩。

對verilog不是很好理解,看了對應的c程式,感覺好多了。現在的計畫是:先稍快速跑完一邊verilog程式,然後使用c語言來程式設計fpga。

對這個程式中,波特率的設定.

分頻引數計算如下: 假設資料的波特率為 p ,則所需時鐘的頻率為 16* p 。以波特率 p 為 9600 為例,系統時 鐘為 50mhz,則分頻係數為 50000000/(16*9600) = 325.52,取整為 326。

測試了串列埠程式,功能ok,**的話真還要時間多去看去理解。找到了verilog的學習文件,找合適時間去學習。程式中串列埠發收是自己寫的協議,比較麻煩 。

iic協議:

空閒:sda和scl都處高電平。

啟動訊號:scl高,sda拉低,等scl到底時,一次資料傳輸的開始。啟動訊號的建立之前,2線必處於高電平,空閒態。

停止訊號:sda返回高,scl高,空閒。

都以8位元組傳送,總共9個脈衝週期。參見p90。ip核要自己生成。

直流濾波: 薄膜電容器由於具有很多優良的特性,因此是一種效能優秀的電容器。它的主要等性如下:無極性,絕緣阻抗很高,頻率特性優異(頻率響應寬廣),而且介質損失很小。基於以上的優點,所以薄膜電容器被大量使用在模擬電路上。尤其是在訊號交連的部份,必須使用頻率特性良好,介質損失極低的電容器,方能確保訊號在傳送時,不致有太大的失真情形發生。

前級耦合濾波,直接串聯0.1uf的電容和接地1m歐電阻,就可實現,隔直通交的功能,效果挺好。10hz的時候有點相移,還待繼續測試。

今天,實驗室的研一師兄參加挑戰誒回來,今天的四川新聞就有關於他們的採訪,真的好厲害,看著專業極了。真希望自己也有機會上電視,為了榮譽,我要好好做事,加油。

做了一些簡單的測試,效果還可。但是發現40萬的訊號源5522a出問題了,進行驗證測試,真的有問題,必須明天聯絡維修,測試工作暫時停止。

向秋哥請教了採集專案fpga的功能實現過程,理清了整個程式流程,任務量有點大啊,得加緊好好學習fpga了。

好,現在開始rtc部分驗證。

又一次安裝了modelsim**軟體,還是不行,我先不安裝了,受不了了!先繼續學習verilog吧。

每個子模組可以標準化,使用時,直接add就好。

今早下著大雨,出門艱難,昨天晚上休息好了,今早就來了。來了後,忙碌儀器退貨的問題,寫了故障報告,忙忙碌碌又是一早上。

中午睡午覺,睡著了。從1.40睡到4.10,睡了兩個半小時,不知道自己怎麼了,醒了,但一眯眼睛,又睡著了,都站起來了,說躺下身下懶腰,結果又睡著了……簡直無救了,自己的生物鐘亂了,要強制改變一下啊,不要太縱容自己了。下午沒了,現在晚上過來實驗室,繼續學fpga。

rtc程式測試完成,通過串列埠有時鐘顯示。流程還是理解,就是**看著太吃力了。看來的弄乙個文件好好學 啊!

△先拉通整個例程,再詳細學習重點要使用的例程!

對chip的使用有些問題,看波形的地方不會操作,此處要留意學習。->好了,學好了,實現功能,會組合通道了,檢視資料時,要用滑鼠拉開。

rom測試完成。

小結:1,除錯板子首先保證焊接正確,對初次除錯,對各個點都要進行測試,正確後再進行下一步。一步步來,往往更快解決問題。

2,對應用理論要理解,凡是運用邏輯。

3,fpga的學習要不斷更近,不懂的要多花時間去學習理解,後面要重點使用作為開發工具。

4,合理安排時間,稍微忙點是最好的,自己能抽出合適時間做好自己想做的事,重心放在實驗室學習,要注重自己真正的成長,要注意分辨「假努力」。

5,個人成長史全面的,選擇合適的點,多方面培養自己,要深入多個領域,開啟思維,不要呆在一門。

6,任何進步都是要辛苦付出後,才能得到地踏實安心。面對任務,要以新的心態對待,要有歸零,重頭開始的勇氣。

FPGA學習筆記(一) 初識FPGA

該隨筆中部分內容 自小梅哥 fpga field programmable gate array,現場可程式設計門陣列 正如其名,fpga內部有大量的可程式設計邏輯功能塊,使用verilog hdl 硬體描述語言 實現設計。玩過微控制器的小夥伴剛接觸fpga可能會有點困惑,其實fpga與微控制器最大...

fpga入門學習之一 fpga簡介

fpga簡介 說到底,fpga就是乙個能夠按照自己的意願去實現一些功能模組的這麼一種晶元。那問題就來啦,dsp也好 微控制器也好都能夠實現你說的這些功能,那我為什麼要選擇fpga呢?筆者認為選擇fpga的原因就在於,我如果想實現乙個20個串列埠收發資料的模組怎麼辦,我如果想實現乙個幾百兆時鐘的設計怎...

FPGA學習(一) 模組

模組由兩部分組成,一部分描述介面,另一部分描述邏輯功能 可以模擬軟體程式中的函式由資料加演算法組成 邏輯功能定義輸入怎麼影響輸出。1 模組埠定義 module 模組名 口1,口2,口3,口4,口1,口2,口3,口4,表示模組的輸入和輸出名。引用模組時其埠可以用兩種方法連線 1 嚴格按照模組定義的埠順...