由D觸發器組成的4位移位暫存器

2021-08-18 14:45:33 字數 578 閱讀 1066

由於在大二上學期我比較懶,各種計算機的實驗都馬馬虎虎做了,並沒有深究其中的意思,就導致大二下學期的整機實驗出現了一系列的問題,比如在做由微程式控制的計算機設計時要用到由d觸發器組成的暫存器,我就很好奇這個觸發器是怎麼變成了乙個暫存器,所以就好好鑽研了一下,發現還是很有意思的。

其中d1的輸入資料是由ff0接受,後面每個輸入都是前乙個的輸出,所有觸發器的時鐘脈衝都是由乙個cp控制的,所以他們同時達到上公升沿。以1101為例,在沒有資料輸入時,q0,q1,q2,q3的輸出結果都是0,當輸入第乙個數字1時,cp到達上公升沿,因為前乙個觸發器的輸出結果到後乙個觸發器的輸入需要一定時間,當第乙個脈衝到達時,ff0接收d1的輸入,q0輸出為1,q1接收脈衝到達前q0的輸出,結果為0,q2和q3同理,,當第二個脈衝到來時,ff0接受新輸入的d1作為q0的輸出,即q0輸出為1,ff1接受脈衝到達前q0的值作為其輸入,輸出q1為1。

如下為脈衝和輸出的電路波形圖

其中由於前乙個觸發器的輸出總是後乙個觸發器的輸入,q0,q1,q2,q3的波形線是按照時鐘逐漸後移的。

左移暫存器vhdl 移位暫存器的VHDL設計

系部 計算機與資訊工程系 班級學號 姓名課程名稱 pld原理與應用 實驗日期 2012.10.31 實驗名稱 實驗三移位暫存器的 vhdl 設計成績 實驗目的 建立乙個移位模式可控的 位移位暫存器。練習建立工程 檔案 實體 結構體的建立,編譯 修改 實驗條件 裝有quartus 軟體的電腦 實驗內容...

觸發器 暫存器 鎖存器 緩衝器的說明

觸發器能夠儲存一位二進位製碼 有記憶的功能 暫存器能夠儲存一組二進位製碼的同步時序邏輯電路,所以把n個觸發器的時鐘埠連線起來就能構成乙個儲存n位的二進位製碼的暫存器。暫存器是 處理器的內的組成部分,暫存器是有限存貯容量的高速存貯部件,所以說暫存器是有形的東西,而不是乙個無形的概念。鎖存器是由若干個鐘...

VHDL 同步復位 置位的D觸發器設計

題目描述 用vhdl語言設計乙個同步復位 置位的d觸發器 原理 d觸發器是能夠儲存1位二進位製碼的邏輯電路,它有兩個互補輸出端,其輸出狀態不僅與輸入有關,而且還與原先的輸出狀態有關。觸發器有兩個穩定狀態,用以表示邏輯狀態 1 和 0 在一定的外界訊號作用下,可以從乙個穩定狀態翻轉到另乙個穩定狀態,它...