VHDL 同步復位 置位的D觸發器設計

2021-08-20 19:21:49 字數 1043 閱讀 8795

題目描述:

用vhdl語言設計乙個同步復位、置位的d觸發器

原理:d觸發器是能夠儲存1位二進位製碼的邏輯電路,它有兩個互補輸出端,其輸出狀態不僅與輸入有關,而且還與原先的輸出狀態有關。觸發器有兩個穩定狀態,用以表示邏輯狀態「1」和「0」。在一定的外界訊號作用下,可以從乙個穩定狀態翻轉到另乙個穩定狀態,它是乙個具有記憶功能的二進位制資訊儲存器件,是構成各種時序電路的最基本邏輯單元。

vhdl源**:

library ieee; 

use ieee.std_logic_1164.all;

use ieee.std_logic_unsigned.all;

entity trigger_d is

port(clk,d,sreset,sset:in std_logic;

q,qb:out std_logic);

end entity;

architecture art of trigger_d is

begin

process(clk,d,sreset,sset)

begin

if clk'event and clk='1' then

if sreset='1' then q<='0';qb<='1';

elsif sset='1' then q<='1';qb<='0';

else q<=d;qb<=not(d);

end if;

end if;

end process;

end architecture art;

波形圖如下:

Verilog描述 同步非同步復位D觸發器

對於d觸發器dff的同步非同步,我是吃過虧的,所以一定要理解清晰,同步和非同步的概念。本篇主要例舉出同步,非同步,復位,置位d觸發器的verilog描述。關鍵在於使用訊號preset的下降沿事件,作為always的觸發,這樣,無論時鐘clk處於何種狀態,都會對輸出q進行置位操作,美其名曰 非同步置位...

d觸發器q端的輸出波形 C08 D觸發器

大多數d觸發器在邏輯電路中是用來儲存資料的。那麼存在的問題是d latch d鎖存器 和d flip flop d觸發器 有著什麼樣的區別呢?最明顯的區別就是,d鎖存器的使能端是高電平使能,而在d觸發器中是使用上公升沿有效來進行使能的。d鎖存器 d 觸發器 如果在enable埠,電容取值 同時應當注...

簡單的兩庫同步觸發器

create database b gouse b gocreate table man a int,b int,c int,d int go create database a gouse a gocreate table man a int,b int,c int create trigger ...