verilog語法隨記

2021-09-19 11:48:26 字數 2174 閱讀 8048

module host_cpld();//宣告host_cpld的輸入輸出變數

input a0,b0,c0;//宣告輸入埠a0,b0和c0

output a1,b1,c1;//宣告輸出埠a1,b1和c1

reg x = 0;//reg是暫存器型別,表示需要觸發,無輸入時可保持原來數值

wire x;//wire是網線型別,表示直通,輸入改變時輸出馬上無條件跟隨改變

reg [4:0]x = 0;//變數為五位二進位制5』b00000暫存器最高位是4最低位是0

always @(posedge x)

begin

end//當x為1時進入always語句

if(x)

begin

end//當x為1時進入if語句

例如if(x[4])

begin

a[5] = 1;

end//當x的第四位為1時,將a的第五位也置1

assign a = b;//assign代表當b變化時a會直接隨著b的變化而變化,沒有延時

這裡wire a;

reg b;

parameter x = 6-2;//parameter代表常量宣告

assign a1 = ~a0 & x;//與是有0為0,全是1為1

//~代表取反,&代表與

assign p1 = (r | ce) ? p0 : 1』b1;

//當r與ce都為零時,p1輸出零,反之任何乙個為1時,p1 = p0

=為直接賦值無延時,<=賦值有延時

assign io = !(s1 && (!s0));

//只有當s1 = 1, s0 = 0時io = 0,其它所有情況都得1

function somi_sel;

input [1:0] sx;

input at;

input io;

input id;

begin

case(sx)

2』b00: somi_sel = at;

2』b01: somi_sel = 1;

2』b10: somi_sel = io;

2』b11: somi_sel = id;

default: somi_sel = 1;

endcase

endendfunction

assign spi_somi = somi_sel(, so, out, 1);

//function宣告乙個函式

//它的結構由下列input進行定義

//case根據sx進行選擇,決定輸出哪一種變數at,io或id

//at對應so,io對應out,id對應1

開始module

結束endmodule

測試用程式`timescale 1ns / 1ps

//**模組

module count4_tb;

reg pc0,clr_err,clk_200ns;

wire err,pc2buf;

count4 mycount(.err(err),.pc2buf(pc2buf),.pc0(pc0),.clr_err(clr_err),.clk_200ns(clk_200ns));

//always #(dely/2) clk_200ns = ~clk_200ns;

initial begin

clk_200ns = 0;

forever #25 clk_200ns = ~clk_200ns;

endinitial begin

pc0 = 1;

clr_err = 1;

#200 pc0 = 1;

clr_err = 0;

#200 pc0 = 0;

clr_err = 0;

#200 pc0 = 1;

clr_err = 0;

#200 pc0 = 1;

clr_err = 1;

#100000 $finish;

endinitial $monitor($time,,,"clk_200ns=%d clr_err=%d pc0=%d err=%d pc2buf=%d",clk_200ns,clr_err,pc0,err,pc2buf);

endmodule

"="當拍看當拍改

"<="當拍看下一拍改

python語法隨記

1 sample 序列a,n 功能 從序列a中隨機抽取n個元素,並將n個元素生以list形式返回。2 字元對應的unicode值 ord 你的字元 3 unicode對應的字元 chr 2034 4 map 方法func,序列1,序列2,功能 將序列中每個元素依次作為方法func的引數,返回 fun...

Verilog語法總結

1.阻塞賦值 與非阻塞賦值 的區別 一條非阻塞過程賦值語句對應的賦值操作執行完之前,下一語句也可以開始執行。各條阻塞型過程賦值語句將以他們在順序塊中的排列次序得到執行。2.關係運算子 關係運算子的優先順序比算數運算子的優先順序低.3.縮減運算 縮減運算的過程 第一步將運算元的第一位與第二位進行與或非...

Verilog語法簡介

常量 正數常量 含義8 b11001100 寬度為8位的二進位制數 8 hff 寬度為8位的十六進製制數 7 o15 寬度為7位的八進位制數 6 d10 寬度為6位的十進位制數 變數net型變數相當於硬體電路中各種物料連線其特點是輸出的值緊跟輸入值的變化而變化。wire是最常用的net型變數。wir...