Verilog語法總結

2021-08-27 17:56:04 字數 559 閱讀 8075

1.阻塞賦值(=)與非阻塞賦值(<=)的區別

一條非阻塞過程賦值語句對應的賦值操作執行完之前,下一語句也可以開始執行。

各條阻塞型過程賦值語句將以他們在順序塊中的排列次序得到執行。

2.關係運算子

關係運算子的優先順序比算數運算子的優先順序低..

3.縮減運算

縮減運算的過程:第一步將運算元的第一位與第二位進行與或非運算,第二步將運算結果與第三位進行與或非運算,依次類推,直到最後一位例如:reg [3:0] b; reg c; c =&b;相當於c =((b [0]&b [1])&b [2])&b [3];

4位拼運算子

導致<= ;

5.引數x = 8;

reg [8-1:0] cnt;

cnt <= cnt + ,1'b1的};

cnt通過引數型別定義具體的數值,cnt 就是cnt個0的意思,大括號是拼接符

6.input和inout的訊號埠只能是wire型,output的訊號埠則可以是wire或reg(如output的訊號有被assign連續賦值時,output的訊號埠必須是wire,否則會報錯)。

Verilog常用語法總結

目錄 一 基本語法 二 總結 作為常用的硬體描述語言之一,verilog的語法非常豐富,但常用的語法卻並不多,下面我們來介紹一下比較常用的語法。基本詞法約定 數字的表達方式 位寬 進製 數值 如8 b10000000表示8位二進位制數10000000.verilog中的四種狀態 0 代表邏輯0或者 ...

verilog語法隨記

module host cpld 宣告host cpld的輸入輸出變數 input a0,b0,c0 宣告輸入埠a0,b0和c0 output a1,b1,c1 宣告輸出埠a1,b1和c1 reg x 0 reg是暫存器型別,表示需要觸發,無輸入時可保持原來數值 wire x wire是網線型別,表...

Verilog語法簡介

常量 正數常量 含義8 b11001100 寬度為8位的二進位制數 8 hff 寬度為8位的十六進製制數 7 o15 寬度為7位的八進位制數 6 d10 寬度為6位的十進位制數 變數net型變數相當於硬體電路中各種物料連線其特點是輸出的值緊跟輸入值的變化而變化。wire是最常用的net型變數。wir...